Skip to content

Commit

Permalink
Remove queue information
Browse files Browse the repository at this point in the history
  • Loading branch information
0cc4m committed Oct 31, 2023
1 parent 2c7fa8d commit 80bfc59
Showing 1 changed file with 1 addition and 7 deletions.
8 changes: 1 addition & 7 deletions ggml-vulkan.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -928,13 +928,6 @@ std::cerr << "ggml_vulkan: Validation layers enabled" << std::endl;
}
}

std::cerr << "Queue Families:" << std::endl;
for(size_t i = 0; i < queue_family_props.size(); i++) {
std::cerr << i << ": Queues: " + std::to_string(queue_family_props[i].queueCount) << " flags: " + to_string(queue_family_props[i].queueFlags) << std::endl;
}

std::cerr << "Using compute queue family " << compute_queue_family_index << " and transfer queue family " << transfer_queue_family_index << std::endl;

const float compute_queue_priority = 1.0f;
const float transfer_queue_priority[] = { 1.0f, 1.0f, 1.0f };
std::vector<vk::DeviceQueueCreateInfo> device_queue_create_infos;
Expand Down Expand Up @@ -970,6 +963,7 @@ std::cerr << "ggml_vulkan: Validation layers enabled" << std::endl;

if (!vk11_features.storageBuffer16BitAccess) {
std::cerr << "ggml_vulkan: device does not support 16-bit storage" << std::endl;
GGML_ASSERT(false);
}

device_extensions.push_back("VK_KHR_16bit_storage");
Expand Down

0 comments on commit 80bfc59

Please sign in to comment.