Skip to content

Commit

Permalink
Update info.yaml
Browse files Browse the repository at this point in the history
  • Loading branch information
AriellaE authored Apr 17, 2024
1 parent bf2f9b5 commit 3d9ce4c
Showing 1 changed file with 11 additions and 11 deletions.
22 changes: 11 additions & 11 deletions info.yaml
Original file line number Diff line number Diff line change
@@ -1,10 +1,10 @@
# Tiny Tapeout project information (Wokwi project)
project:
wokwi_id: 0 # Set this to the ID of your Wokwi project (the number from the project's URL)
title: "" # Project title
author: "" # Your name
wokwi_id: 395414987024660481 # Set this to the ID of your Wokwi project (the number from the project's URL)
title: "HELP for tinyTapeout" # Project title
author: "Ariella Eliassaf" # Your name
discord: "" # Your discord username, for communication and automatically assigning you a Tapeout role (optional)
description: "" # One line description of what your project does
description: "Use 7segment to show "HELP"" # One line description of what your project does
language: "Wokwi" # other examples include SystemVerilog, Amaranth, VHDL, etc
clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable)

Expand All @@ -24,13 +24,13 @@ pinout:
ui[7]: ""

# Outputs
uo[0]: ""
uo[1]: ""
uo[2]: ""
uo[3]: ""
uo[4]: ""
uo[5]: ""
uo[6]: ""
uo[0]: "seg_a"
uo[1]: "seg_b"
uo[2]: "seg_c"
uo[3]: "seg_d"
uo[4]: "seg_e"
uo[5]: "seg_f"
uo[6]: "seg_g"
uo[7]: ""

# Bidirectional pins
Expand Down

0 comments on commit 3d9ce4c

Please sign in to comment.