Skip to content

Commit

Permalink
Update info.yaml
Browse files Browse the repository at this point in the history
  • Loading branch information
AriellaE authored Apr 17, 2024
1 parent 3452522 commit fad497f
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion info.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ project:
title: "HELP for tinyTapeout" # Project title
author: "Ariella Eliassaf" # Your name
discord: "ariella_e" # Your discord username, for communication and automatically assigning you a Tapeout role (optional)
description: "Use 7segment to show "HELP"" # One line description of what your project does
description: "Use 7segment to show 'HELP'" # One line description of what your project does
language: "Wokwi" # other examples include SystemVerilog, Amaranth, VHDL, etc
clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable)

Expand Down

0 comments on commit fad497f

Please sign in to comment.