Skip to content

Commit

Permalink
Added signal clearing prior to job startup
Browse files Browse the repository at this point in the history
  • Loading branch information
Nathan Lee committed Jan 27, 2020
1 parent 6634e35 commit fbb4e69
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 1 deletion.
3 changes: 3 additions & 0 deletions pyrunner/core/pyrunner.py
Original file line number Diff line number Diff line change
Expand Up @@ -72,6 +72,9 @@ def __init__(self, **kwargs):

if self.dup_proc_is_running():
raise OSError('Another process for "{}" is already running!'.format(self.config['app_name']))
else:
# Clear signals, if any, to ensure clean start.
self.signal_handler.consume()

def reset_env(self):
os.environ.clear()
Expand Down
2 changes: 1 addition & 1 deletion pyrunner/version.py
Original file line number Diff line number Diff line change
@@ -1 +1 @@
__version__ = '4.4.0'
__version__ = '4.4.1'

0 comments on commit fbb4e69

Please sign in to comment.