-
Notifications
You must be signed in to change notification settings - Fork 0
/
vivado_16608.backup.jou
38 lines (38 loc) · 2.62 KB
/
vivado_16608.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
#-----------------------------------------------------------
# Vivado v2022.2 (64-bit)
# SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022
# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
# Start of session at: Mon Jul 10 20:03:18 2023
# Process ID: 16608
# Current directory: D:/lab2_miniRV
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent20068 D:\lab2_miniRV\proj_single_cycle.xpr
# Log file: D:/lab2_miniRV/vivado.log
# Journal file: D:/lab2_miniRV\vivado.jou
# Running On: Mech-Win-Tera, OS: Windows, CPU Frequency: 2688 MHz, CPU Physical cores: 10, Host memory: 16898 MB
#-----------------------------------------------------------
start_gui
open_project D:/lab2_miniRV/proj_single_cycle.xpr
update_compile_order -fileset sources_1
set_property CONFIG.coefficient_file {D:/lab2_miniRV/inst_rom.coe} [get_ips IROM]
generate_target all [get_files D:/lab2_miniRV/proj_single_cycle.srcs/sources_1/ip/IROM/IROM.xci]
catch { config_ip_cache -export [get_ips -all IROM] }
export_ip_user_files -of_objects [get_files D:/lab2_miniRV/proj_single_cycle.srcs/sources_1/ip/IROM/IROM.xci] -no_script -sync -force -quiet
reset_run IROM_synth_1
launch_runs IROM_synth_1 -jobs 16
wait_on_run IROM_synth_1
export_simulation -of_objects [get_files D:/lab2_miniRV/proj_single_cycle.srcs/sources_1/ip/IROM/IROM.xci] -directory D:/lab2_miniRV/proj_single_cycle.ip_user_files/sim_scripts -ip_user_files_dir D:/lab2_miniRV/proj_single_cycle.ip_user_files -ipstatic_source_dir D:/lab2_miniRV/proj_single_cycle.ip_user_files/ipstatic -lib_map_path [list {modelsim=D:/lab2_miniRV/proj_single_cycle.cache/compile_simlib/modelsim} {questa=D:/lab2_miniRV/proj_single_cycle.cache/compile_simlib/questa} {riviera=D:/lab2_miniRV/proj_single_cycle.cache/compile_simlib/riviera} {activehdl=D:/lab2_miniRV/proj_single_cycle.cache/compile_simlib/activehdl}] -use_ip_compiled_libs -force -quiet
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 16
wait_on_run impl_1
open_hw_manager
connect_hw_server -allow_non_jtag
open_hw_target
set_property PROGRAM.FILE {D:/lab2_miniRV/proj_single_cycle.runs/impl_1/miniRV_SoC.bit} [get_hw_devices xc7a100t_0]
current_hw_device [get_hw_devices xc7a100t_0]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0]
set_property PROBES.FILE {} [get_hw_devices xc7a100t_0]
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0]
set_property PROGRAM.FILE {D:/lab2_miniRV/proj_single_cycle.runs/impl_1/miniRV_SoC.bit} [get_hw_devices xc7a100t_0]
program_hw_devices [get_hw_devices xc7a100t_0]
refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0]
close_hw_target {localhost:3121/xilinx_tcf/Xilinx/1234-tulA}