Skip to content

Commit

Permalink
fix
Browse files Browse the repository at this point in the history
  • Loading branch information
Thilas committed Jun 23, 2023
1 parent 1093e09 commit fd49230
Show file tree
Hide file tree
Showing 15 changed files with 15 additions and 15 deletions.
2 changes: 1 addition & 1 deletion .github/workflows/airserver.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/airserver.yml
- airserver/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/eclipse.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/eclipse.yml
- eclipse/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/hashcheck.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/hashcheck.yml
- hashcheck/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/ilspy.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/ilspy.yml
- ilspy/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/imdisk.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/imdisk.yml
- imdisk/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/kodi.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/kodi.yml
- kodi/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/lavfilters.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/lavfilters.yml
- lavfilters/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/marble.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/marble.yml
- marble/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/procmon.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/procmon.yml
- procmon/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/sabnzbd.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/sabnzbd.yml
- sabnzbd/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/serviio.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/serviio.yml
- serviio/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/stellarium.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/stellarium.yml
- stellarium/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/strawberryperl.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/strawberryperl.yml
- strawberryperl/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/subtitleedit.yml
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ on:
- .github/workflows/subtitleedit.yml
- subtitleedit/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/vscodium.yml
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@ on:
- vscodium/**
- vscodium.*/**
- Common.ps1
- test_all.ps1
# - test_all.ps1
- update_vars.ps1
schedule:
- cron: 0 4 * * * # Scheduled test
Expand Down

0 comments on commit fd49230

Please sign in to comment.