Skip to content

darwin-x64

darwin-x64 #1320

Triggered via workflow run September 5, 2024 02:09
@mmickommicko
completed 8bd73a4
Status Success
Total duration 19m 20s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
4m 37s
darwin-x64-yosys
darwin-x64-python3
22s
darwin-x64-python3
darwin-x64-lingeling
9s
darwin-x64-lingeling
darwin-x64-btor2tools
8s
darwin-x64-btor2tools
darwin-x64-ghdl
1m 41s
darwin-x64-ghdl
darwin-x64-picosat
10s
darwin-x64-picosat
darwin-x64-libpoly
10s
darwin-x64-libpoly
darwin-x64-graphviz
55s
darwin-x64-graphviz
darwin-x64-icestorm
5s
darwin-x64-icestorm
darwin-x64-prjtrellis
5s
darwin-x64-prjtrellis
darwin-x64-prjoxide
5s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
5s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
10s
darwin-x64-cvc4
darwin-x64-yices
6s
darwin-x64-yices
darwin-x64-z3
14m 13s
darwin-x64-z3
darwin-x64-gtkwave
11s
darwin-x64-gtkwave
darwin-x64-verilator
8m 58s
darwin-x64-verilator
darwin-x64-iverilog
11s
darwin-x64-iverilog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
6s
darwin-x64-system-resources
darwin-x64-eqy
6s
darwin-x64-eqy
darwin-x64-xdot
9s
darwin-x64-xdot
darwin-x64-formal
34s
darwin-x64-formal
darwin-x64-nextpnr-generic
3m 35s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
5m 32s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
5m 22s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
5m 3s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
4m 47s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
5m 11s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
21s
darwin-x64-apicula
darwin-x64-python-programmers
11s
darwin-x64-python-programmers
darwin-x64-pyhdl
11s
darwin-x64-pyhdl
darwin-x64-cocotb
11s
darwin-x64-cocotb
darwin-x64-boolector
10s
darwin-x64-boolector
darwin-x64-bitwuzla
6s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
1m 37s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
10s
darwin-x64-aiger
darwin-x64-cvc5
11s
darwin-x64-cvc5
darwin-x64-smt-switch
9s
darwin-x64-smt-switch
darwin-x64-pono
14s
darwin-x64-pono
darwin-x64-default
4m 20s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-x64-yosys
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-yosys
Back off 15.996 seconds before retry.
darwin-x64-yosys
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-yosys
Back off 18.836 seconds before retry.