Skip to content

linux-arm64

linux-arm64 #1343

Triggered via workflow run September 9, 2024 02:16
@mmickommicko
completed 8bd73a4
Status Success
Total duration 11m 47s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
5s
linux-arm64-cadical
linux-arm64-python3
21s
linux-arm64-python3
linux-arm64-lingeling
4s
linux-arm64-lingeling
linux-arm64-btor2tools
5s
linux-arm64-btor2tools
linux-arm64-yosys
16s
linux-arm64-yosys
linux-arm64-python2
26s
linux-arm64-python2
linux-arm64-picosat
9s
linux-arm64-picosat
linux-arm64-libpoly
5s
linux-arm64-libpoly
linux-arm64-graphviz
1m 10s
linux-arm64-graphviz
linux-arm64-icestorm
5s
linux-arm64-icestorm
linux-arm64-prjtrellis
10s
linux-arm64-prjtrellis
linux-arm64-prjoxide
3s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
5s
linux-arm64-openfpgaloader
linux-arm64-avy
5s
linux-arm64-avy
linux-arm64-cvc4
14s
linux-arm64-cvc4
linux-arm64-yices
1m 36s
linux-arm64-yices
linux-arm64-z3
7s
linux-arm64-z3
linux-arm64-gtkwave
4s
linux-arm64-gtkwave
linux-arm64-verilator
7m 7s
linux-arm64-verilator
linux-arm64-iverilog
11s
linux-arm64-iverilog
linux-arm64-utils
15s
linux-arm64-utils
linux-arm64-system-resources
4s
linux-arm64-system-resources
linux-arm64-xdot
17s
linux-arm64-xdot
linux-arm64-formal
23s
linux-arm64-formal
linux-arm64-nextpnr-generic
9s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
24s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
19s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
15s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
9s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
10s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
16s
linux-arm64-apicula
linux-arm64-python-programmers
12s
linux-arm64-python-programmers
linux-arm64-pyhdl
12s
linux-arm64-pyhdl
linux-arm64-cocotb
18s
linux-arm64-cocotb
linux-arm64-boolector
11s
linux-arm64-boolector
linux-arm64-bitwuzla
5s
linux-arm64-bitwuzla
linux-arm64-eqy
5s
linux-arm64-eqy
linux-arm64-suprove
15s
linux-arm64-suprove
linux-arm64-aiger
12s
linux-arm64-aiger
linux-arm64-cvc5
15s
linux-arm64-cvc5
linux-arm64-smt-switch
7s
linux-arm64-smt-switch
linux-arm64-pono
7s
linux-arm64-pono
linux-arm64-default
4m 21s
linux-arm64-default
Fit to window
Zoom out
Zoom in