Skip to content

darwin-arm64

darwin-arm64 #922

Triggered via workflow run September 10, 2024 02:38
@mmickommicko
completed 8bd73a4
Status Success
Total duration 22m 16s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
5m 17s
darwin-arm64-cadical
darwin-arm64-yosys
15m 46s
darwin-arm64-yosys
darwin-arm64-python3
23s
darwin-arm64-python3
darwin-arm64-lingeling
5s
darwin-arm64-lingeling
darwin-arm64-btor2tools
7s
darwin-arm64-btor2tools
darwin-arm64-ghdl
10s
darwin-arm64-ghdl
darwin-arm64-picosat
4s
darwin-arm64-picosat
darwin-arm64-libpoly
4s
darwin-arm64-libpoly
darwin-arm64-graphviz
56s
darwin-arm64-graphviz
darwin-arm64-icestorm
10s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
15s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
4s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
5s
darwin-arm64-openfpgaloader
darwin-arm64-avy
7s
darwin-arm64-avy
darwin-arm64-cvc4
9s
darwin-arm64-cvc4
darwin-arm64-yices
11s
darwin-arm64-yices
darwin-arm64-z3
17s
darwin-arm64-z3
darwin-arm64-gtkwave
5s
darwin-arm64-gtkwave
darwin-arm64-verilator
8m 41s
darwin-arm64-verilator
darwin-arm64-iverilog
6s
darwin-arm64-iverilog
darwin-arm64-utils
18s
darwin-arm64-utils
darwin-arm64-system-resources
6s
darwin-arm64-system-resources
darwin-arm64-eqy
1m 46s
darwin-arm64-eqy
darwin-arm64-xdot
15s
darwin-arm64-xdot
darwin-arm64-formal
3m 55s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
12s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
21s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
16s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
19s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
15s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
16s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
10s
darwin-arm64-apicula
darwin-arm64-python-programmers
17s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
10s
darwin-arm64-pyhdl
darwin-arm64-boolector
5s
darwin-arm64-boolector
darwin-arm64-bitwuzla
11s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
1m 37s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
16s
darwin-arm64-aiger
darwin-arm64-cvc5
8s
darwin-arm64-cvc5
darwin-arm64-smt-switch
6s
darwin-arm64-smt-switch
darwin-arm64-pono
9s
darwin-arm64-pono
darwin-arm64-default
4m 18s
darwin-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-arm64-cadical
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-cadical
Back off 25.076 seconds before retry.
darwin-arm64-cadical
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-cadical
Back off 16.014 seconds before retry.