Skip to content

darwin-x64

darwin-x64 #1326

Triggered via workflow run September 11, 2024 02:04
@mmickommicko
completed 8bd73a4
Status Success
Total duration 14m 6s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
14s
darwin-x64-yosys
darwin-x64-python3
26s
darwin-x64-python3
darwin-x64-lingeling
6s
darwin-x64-lingeling
darwin-x64-btor2tools
6s
darwin-x64-btor2tools
darwin-x64-ghdl
13s
darwin-x64-ghdl
darwin-x64-picosat
6s
darwin-x64-picosat
darwin-x64-libpoly
4s
darwin-x64-libpoly
darwin-x64-graphviz
1m 6s
darwin-x64-graphviz
darwin-x64-icestorm
4s
darwin-x64-icestorm
darwin-x64-prjtrellis
11s
darwin-x64-prjtrellis
darwin-x64-prjoxide
10s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
10s
darwin-x64-openfpgaloader
darwin-x64-avy
4s
darwin-x64-avy
darwin-x64-cvc4
9s
darwin-x64-cvc4
darwin-x64-yices
2m 7s
darwin-x64-yices
darwin-x64-z3
5s
darwin-x64-z3
darwin-x64-gtkwave
5s
darwin-x64-gtkwave
darwin-x64-verilator
9m 3s
darwin-x64-verilator
darwin-x64-iverilog
11s
darwin-x64-iverilog
darwin-x64-utils
21s
darwin-x64-utils
darwin-x64-system-resources
10s
darwin-x64-system-resources
darwin-x64-eqy
4s
darwin-x64-eqy
darwin-x64-xdot
13s
darwin-x64-xdot
darwin-x64-formal
21s
darwin-x64-formal
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
16s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
13s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
22s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
14s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
16s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
1m 48s
darwin-x64-apicula
darwin-x64-python-programmers
9s
darwin-x64-python-programmers
darwin-x64-pyhdl
18s
darwin-x64-pyhdl
darwin-x64-cocotb
2m 32s
darwin-x64-cocotb
darwin-x64-boolector
7s
darwin-x64-boolector
darwin-x64-bitwuzla
7s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
11s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
5s
darwin-x64-aiger
darwin-x64-cvc5
22s
darwin-x64-cvc5
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
3m 11s
darwin-x64-pono
darwin-x64-default
4m 15s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

2 warnings
darwin-x64-pono
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-pono
Back off 14.847 seconds before retry.