Skip to content

darwin-x64

darwin-x64 #1330

Triggered via workflow run September 15, 2024 02:19
@mmickommicko
completed 8bd73a4
Status Success
Total duration 13m 32s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
6s
darwin-x64-cadical
darwin-x64-yosys
12s
darwin-x64-yosys
darwin-x64-python3
33s
darwin-x64-python3
darwin-x64-lingeling
4s
darwin-x64-lingeling
darwin-x64-btor2tools
6s
darwin-x64-btor2tools
darwin-x64-ghdl
9s
darwin-x64-ghdl
darwin-x64-picosat
4s
darwin-x64-picosat
darwin-x64-libpoly
5s
darwin-x64-libpoly
darwin-x64-graphviz
59s
darwin-x64-graphviz
darwin-x64-icestorm
12s
darwin-x64-icestorm
darwin-x64-prjtrellis
8s
darwin-x64-prjtrellis
darwin-x64-prjoxide
9s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
10s
darwin-x64-openfpgaloader
darwin-x64-avy
10s
darwin-x64-avy
darwin-x64-cvc4
8s
darwin-x64-cvc4
darwin-x64-yices
2m 3s
darwin-x64-yices
darwin-x64-z3
11s
darwin-x64-z3
darwin-x64-gtkwave
6s
darwin-x64-gtkwave
darwin-x64-verilator
8m 51s
darwin-x64-verilator
darwin-x64-iverilog
7s
darwin-x64-iverilog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
10s
darwin-x64-system-resources
darwin-x64-eqy
5s
darwin-x64-eqy
darwin-x64-xdot
16s
darwin-x64-xdot
darwin-x64-formal
24s
darwin-x64-formal
darwin-x64-nextpnr-generic
7s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
19s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
20s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
13s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
8s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
9s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
11s
darwin-x64-apicula
darwin-x64-python-programmers
10s
darwin-x64-python-programmers
darwin-x64-pyhdl
17s
darwin-x64-pyhdl
darwin-x64-cocotb
17s
darwin-x64-cocotb
darwin-x64-boolector
4s
darwin-x64-boolector
darwin-x64-bitwuzla
5s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
6s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
12s
darwin-x64-aiger
darwin-x64-cvc5
9s
darwin-x64-cvc5
darwin-x64-smt-switch
11s
darwin-x64-smt-switch
darwin-x64-pono
7s
darwin-x64-pono
darwin-x64-default
3m 56s
darwin-x64-default
Fit to window
Zoom out
Zoom in