Skip to content

linux-arm64

linux-arm64 #1350

Triggered via workflow run September 16, 2024 02:24
@mmickommicko
completed 8bd73a4
Status Success
Total duration 11m 27s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
9s
linux-arm64-cadical
linux-arm64-python3
32s
linux-arm64-python3
linux-arm64-lingeling
6s
linux-arm64-lingeling
linux-arm64-btor2tools
9s
linux-arm64-btor2tools
linux-arm64-yosys
14s
linux-arm64-yosys
linux-arm64-python2
22s
linux-arm64-python2
linux-arm64-picosat
5s
linux-arm64-picosat
linux-arm64-libpoly
9s
linux-arm64-libpoly
linux-arm64-graphviz
59s
linux-arm64-graphviz
linux-arm64-icestorm
6s
linux-arm64-icestorm
linux-arm64-prjtrellis
7s
linux-arm64-prjtrellis
linux-arm64-prjoxide
4s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
5s
linux-arm64-openfpgaloader
linux-arm64-avy
5s
linux-arm64-avy
linux-arm64-cvc4
15s
linux-arm64-cvc4
linux-arm64-yices
6s
linux-arm64-yices
linux-arm64-z3
6s
linux-arm64-z3
linux-arm64-gtkwave
10s
linux-arm64-gtkwave
linux-arm64-verilator
7m 4s
linux-arm64-verilator
linux-arm64-iverilog
11s
linux-arm64-iverilog
linux-arm64-utils
12s
linux-arm64-utils
linux-arm64-system-resources
6s
linux-arm64-system-resources
linux-arm64-xdot
9s
linux-arm64-xdot
linux-arm64-formal
22s
linux-arm64-formal
linux-arm64-nextpnr-generic
14s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
21s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
15s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
20s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
8s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
11s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
9s
linux-arm64-apicula
linux-arm64-python-programmers
16s
linux-arm64-python-programmers
linux-arm64-pyhdl
11s
linux-arm64-pyhdl
linux-arm64-cocotb
11s
linux-arm64-cocotb
linux-arm64-boolector
7s
linux-arm64-boolector
linux-arm64-bitwuzla
5s
linux-arm64-bitwuzla
linux-arm64-eqy
6s
linux-arm64-eqy
linux-arm64-suprove
13s
linux-arm64-suprove
linux-arm64-aiger
6s
linux-arm64-aiger
linux-arm64-cvc5
16s
linux-arm64-cvc5
linux-arm64-smt-switch
6s
linux-arm64-smt-switch
linux-arm64-pono
9s
linux-arm64-pono
linux-arm64-default
3m 55s
linux-arm64-default
Fit to window
Zoom out
Zoom in