Skip to content

darwin-arm64

darwin-arm64 #928

Triggered via workflow run September 16, 2024 02:29
@mmickommicko
completed 8bd73a4
Status Success
Total duration 13m 49s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
5s
darwin-arm64-cadical
darwin-arm64-yosys
7s
darwin-arm64-yosys
darwin-arm64-python3
25s
darwin-arm64-python3
darwin-arm64-lingeling
5s
darwin-arm64-lingeling
darwin-arm64-btor2tools
5s
darwin-arm64-btor2tools
darwin-arm64-ghdl
1m 50s
darwin-arm64-ghdl
darwin-arm64-picosat
4s
darwin-arm64-picosat
darwin-arm64-libpoly
9s
darwin-arm64-libpoly
darwin-arm64-graphviz
1m 7s
darwin-arm64-graphviz
darwin-arm64-icestorm
10s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
6s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
8s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
4s
darwin-arm64-openfpgaloader
darwin-arm64-avy
10s
darwin-arm64-avy
darwin-arm64-cvc4
10s
darwin-arm64-cvc4
darwin-arm64-yices
6s
darwin-arm64-yices
darwin-arm64-z3
13s
darwin-arm64-z3
darwin-arm64-gtkwave
11s
darwin-arm64-gtkwave
darwin-arm64-verilator
9m 4s
darwin-arm64-verilator
darwin-arm64-iverilog
11s
darwin-arm64-iverilog
darwin-arm64-utils
12s
darwin-arm64-utils
darwin-arm64-system-resources
7s
darwin-arm64-system-resources
darwin-arm64-eqy
6s
darwin-arm64-eqy
darwin-arm64-xdot
15s
darwin-arm64-xdot
darwin-arm64-formal
29s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
10s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
15s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
21s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
15s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
8s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
16s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
9s
darwin-arm64-apicula
darwin-arm64-python-programmers
8s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
10s
darwin-arm64-pyhdl
darwin-arm64-boolector
5s
darwin-arm64-boolector
darwin-arm64-bitwuzla
12s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
1m 46s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
10s
darwin-arm64-aiger
darwin-arm64-cvc5
11s
darwin-arm64-cvc5
darwin-arm64-smt-switch
12s
darwin-arm64-smt-switch
darwin-arm64-pono
11s
darwin-arm64-pono
darwin-arm64-default
4m 24s
darwin-arm64-default
Fit to window
Zoom out
Zoom in