Skip to content

windows-x64

windows-x64 #1338

Triggered via workflow run September 17, 2024 01:58
@mmickommicko
completed 80182cf
Status Success
Total duration 25m 53s
Artifacts

windows-x64.yml

on: workflow_run
windows-x64-cadical
10s
windows-x64-cadical
windows-x64-python3
21s
windows-x64-python3
windows-x64-lingeling
9s
windows-x64-lingeling
windows-x64-btor2tools
4s
windows-x64-btor2tools
windows-x64-yosys
21m 0s
windows-x64-yosys
windows-x64-libpoly
40s
windows-x64-libpoly
windows-x64-imctk
6m 28s
windows-x64-imctk
windows-x64-icestorm
5s
windows-x64-icestorm
windows-x64-prjtrellis
4s
windows-x64-prjtrellis
windows-x64-prjoxide
11s
windows-x64-prjoxide
windows-x64-openfpgaloader
4s
windows-x64-openfpgaloader
windows-x64-avy
6s
windows-x64-avy
windows-x64-cvc4
8s
windows-x64-cvc4
windows-x64-yices
7s
windows-x64-yices
windows-x64-z3
6s
windows-x64-z3
windows-x64-gtkwave
6s
windows-x64-gtkwave
windows-x64-verilator
13s
windows-x64-verilator
windows-x64-iverilog
6s
windows-x64-iverilog
windows-x64-utils
17s
windows-x64-utils
windows-x64-system-resources
4s
windows-x64-system-resources
windows-x64-formal
4m 3s
windows-x64-formal
windows-x64-nextpnr-generic
14s
windows-x64-nextpnr-generic
windows-x64-nextpnr-ice40
16s
windows-x64-nextpnr-ice40
windows-x64-nextpnr-ecp5
15s
windows-x64-nextpnr-ecp5
windows-x64-nextpnr-machxo2
18s
windows-x64-nextpnr-machxo2
windows-x64-nextpnr-nexus
10s
windows-x64-nextpnr-nexus
windows-x64-nextpnr-himbaechel
10s
windows-x64-nextpnr-himbaechel
windows-x64-apicula
17s
windows-x64-apicula
windows-x64-pyhdl
12s
windows-x64-pyhdl
windows-x64-boolector
10s
windows-x64-boolector
windows-x64-bitwuzla
6s
windows-x64-bitwuzla
windows-x64-eqy
1m 37s
windows-x64-eqy
windows-x64-cvc5
10s
windows-x64-cvc5
windows-x64-smt-switch
12s
windows-x64-smt-switch
windows-x64-pono
7s
windows-x64-pono
windows-x64-default
2m 38s
windows-x64-default
Fit to window
Zoom out
Zoom in