Skip to content

darwin-x64

darwin-x64 #1332

Triggered via workflow run September 17, 2024 01:58
@mmickommicko
completed 80182cf
Status Success
Total duration 23m 36s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
6s
darwin-x64-cadical
darwin-x64-python3
29s
darwin-x64-python3
darwin-x64-lingeling
5s
darwin-x64-lingeling
darwin-x64-btor2tools
9s
darwin-x64-btor2tools
darwin-x64-ghdl
7s
darwin-x64-ghdl
darwin-x64-picosat
5s
darwin-x64-picosat
darwin-x64-libpoly
10s
darwin-x64-libpoly
darwin-x64-graphviz
1m 8s
darwin-x64-graphviz
darwin-x64-imctk
6m 9s
darwin-x64-imctk
darwin-x64-icestorm
5s
darwin-x64-icestorm
darwin-x64-prjtrellis
5s
darwin-x64-prjtrellis
darwin-x64-prjoxide
5s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
7s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
8s
darwin-x64-cvc4
darwin-x64-yices
12s
darwin-x64-yices
darwin-x64-z3
14s
darwin-x64-z3
darwin-x64-gtkwave
5s
darwin-x64-gtkwave
darwin-x64-verilator
7s
darwin-x64-verilator
darwin-x64-iverilog
6s
darwin-x64-iverilog
darwin-x64-utils
11s
darwin-x64-utils
darwin-x64-system-resources
8s
darwin-x64-system-resources
darwin-x64-eqy
2m 7s
darwin-x64-eqy
darwin-x64-xdot
8s
darwin-x64-xdot
darwin-x64-formal
4m 12s
darwin-x64-formal
darwin-x64-nextpnr-generic
9s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
15s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
21s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
13s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
7s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
9s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
9s
darwin-x64-apicula
darwin-x64-python-programmers
18s
darwin-x64-python-programmers
darwin-x64-pyhdl
12s
darwin-x64-pyhdl
darwin-x64-cocotb
9s
darwin-x64-cocotb
darwin-x64-boolector
11s
darwin-x64-boolector
darwin-x64-bitwuzla
5s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
2m 0s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
9s
darwin-x64-aiger
darwin-x64-cvc5
8s
darwin-x64-cvc5
darwin-x64-smt-switch
6s
darwin-x64-smt-switch
darwin-x64-pono
7s
darwin-x64-pono
darwin-x64-default
4m 16s
darwin-x64-default
Fit to window
Zoom out
Zoom in