Skip to content

darwin-arm64

darwin-arm64 #929

Triggered via workflow run September 17, 2024 02:21
@mmickommicko
completed 80182cf
Status Success
Total duration 23m 16s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
15s
darwin-arm64-cadical
darwin-arm64-yosys
16m 24s
darwin-arm64-yosys
darwin-arm64-python3
26s
darwin-arm64-python3
darwin-arm64-lingeling
5s
darwin-arm64-lingeling
darwin-arm64-btor2tools
4s
darwin-arm64-btor2tools
darwin-arm64-ghdl
8s
darwin-arm64-ghdl
darwin-arm64-picosat
4s
darwin-arm64-picosat
darwin-arm64-libpoly
10s
darwin-arm64-libpoly
darwin-arm64-graphviz
59s
darwin-arm64-graphviz
darwin-arm64-imctk
6m 9s
darwin-arm64-imctk
darwin-arm64-icestorm
11s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
4s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
4m 7s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
4s
darwin-arm64-openfpgaloader
darwin-arm64-avy
5s
darwin-arm64-avy
darwin-arm64-cvc4
10s
darwin-arm64-cvc4
darwin-arm64-yices
6s
darwin-arm64-yices
darwin-arm64-z3
7s
darwin-arm64-z3
darwin-arm64-gtkwave
7s
darwin-arm64-gtkwave
darwin-arm64-verilator
12s
darwin-arm64-verilator
darwin-arm64-iverilog
10s
darwin-arm64-iverilog
darwin-arm64-utils
17s
darwin-arm64-utils
darwin-arm64-system-resources
7s
darwin-arm64-system-resources
darwin-arm64-eqy
2m 9s
darwin-arm64-eqy
darwin-arm64-xdot
14s
darwin-arm64-xdot
darwin-arm64-formal
4m 7s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
8s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
13s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
20s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
20s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
15s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
13s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
12s
darwin-arm64-apicula
darwin-arm64-python-programmers
17s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
17s
darwin-arm64-pyhdl
darwin-arm64-boolector
6s
darwin-arm64-boolector
darwin-arm64-bitwuzla
12s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
2m 0s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
6s
darwin-arm64-aiger
darwin-arm64-cvc5
8s
darwin-arm64-cvc5
darwin-arm64-smt-switch
9s
darwin-arm64-smt-switch
darwin-arm64-pono
13s
darwin-arm64-pono
darwin-arm64-default
4m 19s
darwin-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-arm64-prjoxide
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-prjoxide
Back off 12.369 seconds before retry.
darwin-arm64-prjoxide
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-prjoxide
Back off 29.079 seconds before retry.