Skip to content

windows-x64

windows-x64 #1340

Triggered via workflow run September 19, 2024 02:09
@mmickommicko
completed 80182cf
Status Success
Total duration 10m 22s
Artifacts

windows-x64.yml

on: workflow_run
windows-x64-cadical
9s
windows-x64-cadical
windows-x64-python3
53s
windows-x64-python3
windows-x64-lingeling
5s
windows-x64-lingeling
windows-x64-btor2tools
9s
windows-x64-btor2tools
windows-x64-yosys
12s
windows-x64-yosys
windows-x64-libpoly
5s
windows-x64-libpoly
windows-x64-imctk
6s
windows-x64-imctk
windows-x64-icestorm
10s
windows-x64-icestorm
windows-x64-prjtrellis
5s
windows-x64-prjtrellis
windows-x64-prjoxide
3s
windows-x64-prjoxide
windows-x64-openfpgaloader
10s
windows-x64-openfpgaloader
windows-x64-avy
4s
windows-x64-avy
windows-x64-cvc4
8s
windows-x64-cvc4
windows-x64-yices
5s
windows-x64-yices
windows-x64-z3
1m 24s
windows-x64-z3
windows-x64-gtkwave
10s
windows-x64-gtkwave
windows-x64-verilator
7m 31s
windows-x64-verilator
windows-x64-iverilog
5s
windows-x64-iverilog
windows-x64-utils
19s
windows-x64-utils
windows-x64-system-resources
8s
windows-x64-system-resources
windows-x64-formal
25s
windows-x64-formal
windows-x64-nextpnr-generic
4m 6s
windows-x64-nextpnr-generic
windows-x64-nextpnr-ice40
5m 46s
windows-x64-nextpnr-ice40
windows-x64-nextpnr-ecp5
6m 10s
windows-x64-nextpnr-ecp5
windows-x64-nextpnr-machxo2
5m 44s
windows-x64-nextpnr-machxo2
windows-x64-nextpnr-nexus
5m 27s
windows-x64-nextpnr-nexus
windows-x64-nextpnr-himbaechel
6m 13s
windows-x64-nextpnr-himbaechel
windows-x64-apicula
21s
windows-x64-apicula
windows-x64-pyhdl
15s
windows-x64-pyhdl
windows-x64-boolector
5s
windows-x64-boolector
windows-x64-bitwuzla
6s
windows-x64-bitwuzla
windows-x64-eqy
7s
windows-x64-eqy
windows-x64-cvc5
9s
windows-x64-cvc5
windows-x64-smt-switch
7s
windows-x64-smt-switch
windows-x64-pono
13s
windows-x64-pono
windows-x64-default
2m 19s
windows-x64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
windows-x64-z3
Process completed with exit code 255.