Skip to content

linux-arm64

linux-arm64 #1354

Triggered via workflow run September 20, 2024 02:18
@mmickommicko
completed 80182cf
Status Success
Total duration 12m 5s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
9s
linux-arm64-cadical
linux-arm64-python3
30s
linux-arm64-python3
linux-arm64-lingeling
9s
linux-arm64-lingeling
linux-arm64-btor2tools
3s
linux-arm64-btor2tools
linux-arm64-yosys
7s
linux-arm64-yosys
linux-arm64-python2
18s
linux-arm64-python2
linux-arm64-picosat
9s
linux-arm64-picosat
linux-arm64-libpoly
4s
linux-arm64-libpoly
linux-arm64-graphviz
1m 7s
linux-arm64-graphviz
linux-arm64-imctk
6s
linux-arm64-imctk
linux-arm64-icestorm
2m 15s
linux-arm64-icestorm
linux-arm64-prjtrellis
5s
linux-arm64-prjtrellis
linux-arm64-prjoxide
9s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
4s
linux-arm64-openfpgaloader
linux-arm64-avy
10s
linux-arm64-avy
linux-arm64-cvc4
15s
linux-arm64-cvc4
linux-arm64-yices
6s
linux-arm64-yices
linux-arm64-z3
1m 21s
linux-arm64-z3
linux-arm64-gtkwave
4s
linux-arm64-gtkwave
linux-arm64-verilator
7m 20s
linux-arm64-verilator
linux-arm64-iverilog
5s
linux-arm64-iverilog
linux-arm64-utils
10s
linux-arm64-utils
linux-arm64-system-resources
2m 37s
linux-arm64-system-resources
linux-arm64-xdot
10s
linux-arm64-xdot
linux-arm64-formal
31s
linux-arm64-formal
linux-arm64-nextpnr-generic
7s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
19s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
20s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
13s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
12s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
16s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
11s
linux-arm64-apicula
linux-arm64-python-programmers
12s
linux-arm64-python-programmers
linux-arm64-pyhdl
1m 44s
linux-arm64-pyhdl
linux-arm64-cocotb
10s
linux-arm64-cocotb
linux-arm64-boolector
9s
linux-arm64-boolector
linux-arm64-bitwuzla
5s
linux-arm64-bitwuzla
linux-arm64-eqy
4s
linux-arm64-eqy
linux-arm64-suprove
5s
linux-arm64-suprove
linux-arm64-aiger
10s
linux-arm64-aiger
linux-arm64-cvc5
15s
linux-arm64-cvc5
linux-arm64-smt-switch
7s
linux-arm64-smt-switch
linux-arm64-pono
7s
linux-arm64-pono
linux-arm64-default
4m 18s
linux-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error and 4 warnings
linux-arm64-z3
Process completed with exit code 255.
linux-arm64-icestorm
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
linux-arm64-icestorm
Back off 29.49 seconds before retry.
linux-arm64-system-resources
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
linux-arm64-system-resources
Back off 16.704 seconds before retry.