Skip to content

linux-arm64

linux-arm64 #1357

Triggered via workflow run September 23, 2024 02:41
@mmickommicko
completed 80182cf
Status Success
Total duration 22m 17s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
5s
linux-arm64-cadical
linux-arm64-python3
23s
linux-arm64-python3
linux-arm64-lingeling
6s
linux-arm64-lingeling
linux-arm64-btor2tools
6s
linux-arm64-btor2tools
linux-arm64-yosys
10s
linux-arm64-yosys
linux-arm64-python2
29s
linux-arm64-python2
linux-arm64-picosat
3s
linux-arm64-picosat
linux-arm64-libpoly
3s
linux-arm64-libpoly
linux-arm64-graphviz
56s
linux-arm64-graphviz
linux-arm64-imctk
7s
linux-arm64-imctk
linux-arm64-icestorm
10s
linux-arm64-icestorm
linux-arm64-prjtrellis
7s
linux-arm64-prjtrellis
linux-arm64-prjoxide
5s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
5s
linux-arm64-openfpgaloader
linux-arm64-avy
10s
linux-arm64-avy
linux-arm64-cvc4
22s
linux-arm64-cvc4
linux-arm64-yices
5s
linux-arm64-yices
linux-arm64-z3
17m 44s
linux-arm64-z3
linux-arm64-gtkwave
7s
linux-arm64-gtkwave
linux-arm64-verilator
7m 31s
linux-arm64-verilator
linux-arm64-iverilog
7s
linux-arm64-iverilog
linux-arm64-utils
10s
linux-arm64-utils
linux-arm64-system-resources
6s
linux-arm64-system-resources
linux-arm64-xdot
15s
linux-arm64-xdot
linux-arm64-formal
29s
linux-arm64-formal
linux-arm64-nextpnr-generic
9s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
20s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
20s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
16s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
15s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
12s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
9s
linux-arm64-apicula
linux-arm64-python-programmers
9s
linux-arm64-python-programmers
linux-arm64-pyhdl
10s
linux-arm64-pyhdl
linux-arm64-cocotb
14s
linux-arm64-cocotb
linux-arm64-boolector
12s
linux-arm64-boolector
linux-arm64-bitwuzla
12s
linux-arm64-bitwuzla
linux-arm64-eqy
5s
linux-arm64-eqy
linux-arm64-suprove
12s
linux-arm64-suprove
linux-arm64-aiger
4s
linux-arm64-aiger
linux-arm64-cvc5
11s
linux-arm64-cvc5
linux-arm64-smt-switch
14s
linux-arm64-smt-switch
linux-arm64-pono
7s
linux-arm64-pono
linux-arm64-default
4m 17s
linux-arm64-default
Fit to window
Zoom out
Zoom in