Skip to content

darwin-arm64

darwin-arm64 #937

Triggered via workflow run September 25, 2024 02:44
@mmickommicko
completed 80182cf
Status Success
Total duration 20m 22s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
6s
darwin-arm64-cadical
darwin-arm64-yosys
7s
darwin-arm64-yosys
darwin-arm64-python3
32s
darwin-arm64-python3
darwin-arm64-lingeling
3s
darwin-arm64-lingeling
darwin-arm64-btor2tools
6s
darwin-arm64-btor2tools
darwin-arm64-ghdl
2m 5s
darwin-arm64-ghdl
darwin-arm64-picosat
4s
darwin-arm64-picosat
darwin-arm64-libpoly
6s
darwin-arm64-libpoly
darwin-arm64-graphviz
56s
darwin-arm64-graphviz
darwin-arm64-imctk
4s
darwin-arm64-imctk
darwin-arm64-icestorm
6s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
7s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
9s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
5s
darwin-arm64-openfpgaloader
darwin-arm64-avy
5s
darwin-arm64-avy
darwin-arm64-cvc4
13s
darwin-arm64-cvc4
darwin-arm64-yices
10s
darwin-arm64-yices
darwin-arm64-z3
15m 10s
darwin-arm64-z3
darwin-arm64-gtkwave
5s
darwin-arm64-gtkwave
darwin-arm64-verilator
9m 20s
darwin-arm64-verilator
darwin-arm64-iverilog
6s
darwin-arm64-iverilog
darwin-arm64-utils
18s
darwin-arm64-utils
darwin-arm64-system-resources
7s
darwin-arm64-system-resources
darwin-arm64-eqy
4s
darwin-arm64-eqy
darwin-arm64-xdot
15s
darwin-arm64-xdot
darwin-arm64-formal
30s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
3m 54s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
6m 14s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
5m 36s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
5m 4s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
5m 4s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
5m 28s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
9s
darwin-arm64-apicula
darwin-arm64-python-programmers
14s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
16s
darwin-arm64-pyhdl
darwin-arm64-boolector
11s
darwin-arm64-boolector
darwin-arm64-bitwuzla
12s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
2m 5s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
4s
darwin-arm64-aiger
darwin-arm64-cvc5
19s
darwin-arm64-cvc5
darwin-arm64-smt-switch
6s
darwin-arm64-smt-switch
darwin-arm64-pono
13s
darwin-arm64-pono
darwin-arm64-default
4m 12s
darwin-arm64-default
Fit to window
Zoom out
Zoom in