Skip to content

linux-arm64

linux-arm64 #1360

Triggered via workflow run September 26, 2024 02:40
@mmickommicko
completed 80182cf
Status Success
Total duration 22m 3s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
10s
linux-arm64-cadical
linux-arm64-python3
34s
linux-arm64-python3
linux-arm64-lingeling
4s
linux-arm64-lingeling
linux-arm64-btor2tools
5s
linux-arm64-btor2tools
linux-arm64-yosys
7s
linux-arm64-yosys
linux-arm64-python2
22s
linux-arm64-python2
linux-arm64-picosat
4s
linux-arm64-picosat
linux-arm64-libpoly
7s
linux-arm64-libpoly
linux-arm64-graphviz
54s
linux-arm64-graphviz
linux-arm64-imctk
7s
linux-arm64-imctk
linux-arm64-icestorm
10s
linux-arm64-icestorm
linux-arm64-prjtrellis
5s
linux-arm64-prjtrellis
linux-arm64-prjoxide
6s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
2m 1s
linux-arm64-openfpgaloader
linux-arm64-avy
10s
linux-arm64-avy
linux-arm64-cvc4
8s
linux-arm64-cvc4
linux-arm64-yices
7s
linux-arm64-yices
linux-arm64-z3
17m 39s
linux-arm64-z3
linux-arm64-gtkwave
5s
linux-arm64-gtkwave
linux-arm64-verilator
7m 29s
linux-arm64-verilator
linux-arm64-iverilog
7s
linux-arm64-iverilog
linux-arm64-utils
11s
linux-arm64-utils
linux-arm64-system-resources
9s
linux-arm64-system-resources
linux-arm64-xdot
10s
linux-arm64-xdot
linux-arm64-formal
21s
linux-arm64-formal
linux-arm64-nextpnr-generic
8s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
13s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
22s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
15s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
8s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
19s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
13s
linux-arm64-apicula
linux-arm64-python-programmers
16s
linux-arm64-python-programmers
linux-arm64-pyhdl
12s
linux-arm64-pyhdl
linux-arm64-cocotb
2m 9s
linux-arm64-cocotb
linux-arm64-boolector
5s
linux-arm64-boolector
linux-arm64-bitwuzla
17s
linux-arm64-bitwuzla
linux-arm64-eqy
5s
linux-arm64-eqy
linux-arm64-suprove
4s
linux-arm64-suprove
linux-arm64-aiger
10s
linux-arm64-aiger
linux-arm64-cvc5
17s
linux-arm64-cvc5
linux-arm64-smt-switch
6s
linux-arm64-smt-switch
linux-arm64-pono
6s
linux-arm64-pono
linux-arm64-default
4m 9s
linux-arm64-default
Fit to window
Zoom out
Zoom in