Skip to content

linux-arm64

linux-arm64 #1361

Re-run triggered September 27, 2024 06:11
Status Success
Total duration 10m 21s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
6s
linux-arm64-cadical
linux-arm64-python3
23s
linux-arm64-python3
linux-arm64-lingeling
6s
linux-arm64-lingeling
linux-arm64-btor2tools
9s
linux-arm64-btor2tools
linux-arm64-yosys
15s
linux-arm64-yosys
linux-arm64-python2
18s
linux-arm64-python2
linux-arm64-picosat
13s
linux-arm64-picosat
linux-arm64-libpoly
9s
linux-arm64-libpoly
linux-arm64-graphviz
1m 8s
linux-arm64-graphviz
linux-arm64-imctk
6s
linux-arm64-imctk
linux-arm64-icestorm
6s
linux-arm64-icestorm
linux-arm64-prjtrellis
10s
linux-arm64-prjtrellis
linux-arm64-prjoxide
12s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
2m 6s
linux-arm64-openfpgaloader
linux-arm64-avy
11s
linux-arm64-avy
linux-arm64-cvc4
16s
linux-arm64-cvc4
linux-arm64-yices
12s
linux-arm64-yices
linux-arm64-z3
17m 38s
linux-arm64-z3
linux-arm64-gtkwave
7s
linux-arm64-gtkwave
linux-arm64-verilator
7m 22s
linux-arm64-verilator
linux-arm64-iverilog
8s
linux-arm64-iverilog
linux-arm64-utils
19s
linux-arm64-utils
linux-arm64-system-resources
9s
linux-arm64-system-resources
linux-arm64-xdot
11s
linux-arm64-xdot
linux-arm64-formal
21s
linux-arm64-formal
linux-arm64-nextpnr-generic
4m 2s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
4m 56s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
5m 59s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
4m 26s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
4m 34s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
5m 3s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
10s
linux-arm64-apicula
linux-arm64-python-programmers
16s
linux-arm64-python-programmers
linux-arm64-pyhdl
9s
linux-arm64-pyhdl
linux-arm64-cocotb
2m 28s
linux-arm64-cocotb
linux-arm64-boolector
6s
linux-arm64-boolector
linux-arm64-bitwuzla
8s
linux-arm64-bitwuzla
linux-arm64-eqy
5s
linux-arm64-eqy
linux-arm64-suprove
6s
linux-arm64-suprove
linux-arm64-aiger
6s
linux-arm64-aiger
linux-arm64-cvc5
8s
linux-arm64-cvc5
linux-arm64-smt-switch
6s
linux-arm64-smt-switch
linux-arm64-pono
13s
linux-arm64-pono
linux-arm64-default
4m 55s
linux-arm64-default
Fit to window
Zoom out
Zoom in