Skip to content

darwin-x64

darwin-x64 #855

Triggered via workflow run July 10, 2023 02:43
@mmickommicko
completed 0d2d0ec
Status Success
Total duration 35m 16s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-python3
37s
darwin-x64-python3
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
14s
darwin-x64-yosys
darwin-x64-lingeling
9s
darwin-x64-lingeling
darwin-x64-btor2tools
4s
darwin-x64-btor2tools
darwin-x64-ghdl
2m 17s
darwin-x64-ghdl
darwin-x64-picosat
5s
darwin-x64-picosat
darwin-x64-libpoly
6s
darwin-x64-libpoly
darwin-x64-graphviz
11s
darwin-x64-graphviz
darwin-x64-icestorm
4s
darwin-x64-icestorm
darwin-x64-prjtrellis
11s
darwin-x64-prjtrellis
darwin-x64-prjoxide
6s
darwin-x64-prjoxide
darwin-x64-dfu-util
5s
darwin-x64-dfu-util
darwin-x64-ecpprog
5s
darwin-x64-ecpprog
darwin-x64-openfpgaloader
5s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
18s
darwin-x64-cvc4
darwin-x64-yices
9s
darwin-x64-yices
darwin-x64-z3
17m 19s
darwin-x64-z3
darwin-x64-mcy
5s
darwin-x64-mcy
darwin-x64-sby
7s
darwin-x64-sby
darwin-x64-sby-gui
5s
darwin-x64-sby-gui
darwin-x64-gtkwave
5s
darwin-x64-gtkwave
darwin-x64-verilator
28m 46s
darwin-x64-verilator
darwin-x64-iverilog
5m 35s
darwin-x64-iverilog
darwin-x64-ecpdap
6s
darwin-x64-ecpdap
darwin-x64-fujprog
5s
darwin-x64-fujprog
darwin-x64-iceprogduino
5s
darwin-x64-iceprogduino
darwin-x64-openocd
18s
darwin-x64-openocd
darwin-x64-icesprog
11s
darwin-x64-icesprog
darwin-x64-utils
12s
darwin-x64-utils
darwin-x64-system-resources
8s
darwin-x64-system-resources
darwin-x64-numpy
12s
darwin-x64-numpy
darwin-x64-xdot
7s
darwin-x64-xdot
darwin-x64-nextpnr-generic
13s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
26s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
21s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
14m 6s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
13s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-gowin
2m 56s
darwin-x64-nextpnr-gowin
darwin-x64-flask
10s
darwin-x64-flask
darwin-x64-python-programmers
8s
darwin-x64-python-programmers
darwin-x64-pyhdl
2m 18s
darwin-x64-pyhdl
darwin-x64-cocotb
12s
darwin-x64-cocotb
darwin-x64-eqy
5s
darwin-x64-eqy
darwin-x64-boolector
11s
darwin-x64-boolector
darwin-x64-bitwuzla
8s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
10s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
6s
darwin-x64-aiger
darwin-x64-cvc5
17s
darwin-x64-cvc5
darwin-x64-apicula
12s
darwin-x64-apicula
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
11s
darwin-x64-pono
darwin-x64-default
5m 23s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

5 errors
darwin-x64-ghdl
Process completed with exit code 255.
darwin-x64-nextpnr-gowin
Process completed with exit code 255.
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
darwin-x64-verilator
The runner has received a shutdown signal. This can happen when the runner service is stopped, or a manually started runner is canceled.
darwin-x64-verilator
Process completed with exit code 143.