Skip to content

darwin-x64

darwin-x64 #866

Triggered via workflow run July 21, 2023 02:24
@mmickommicko
completed 0d2d0ec
Status Success
Total duration 30m 49s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-python3
49s
darwin-x64-python3
darwin-x64-cadical
8s
darwin-x64-cadical
darwin-x64-lingeling
8s
darwin-x64-lingeling
darwin-x64-btor2tools
5s
darwin-x64-btor2tools
darwin-x64-ghdl
2m 43s
darwin-x64-ghdl
darwin-x64-picosat
4s
darwin-x64-picosat
darwin-x64-libpoly
6s
darwin-x64-libpoly
darwin-x64-graphviz
12s
darwin-x64-graphviz
darwin-x64-icestorm
8s
darwin-x64-icestorm
darwin-x64-prjtrellis
10s
darwin-x64-prjtrellis
darwin-x64-prjoxide
10s
darwin-x64-prjoxide
darwin-x64-dfu-util
5s
darwin-x64-dfu-util
darwin-x64-ecpprog
8s
darwin-x64-ecpprog
darwin-x64-openfpgaloader
6s
darwin-x64-openfpgaloader
darwin-x64-avy
10s
darwin-x64-avy
darwin-x64-cvc4
17s
darwin-x64-cvc4
darwin-x64-yices
11s
darwin-x64-yices
darwin-x64-z3
16m 30s
darwin-x64-z3
darwin-x64-mcy
8s
darwin-x64-mcy
darwin-x64-sby
5s
darwin-x64-sby
darwin-x64-sby-gui
7s
darwin-x64-sby-gui
darwin-x64-gtkwave
6s
darwin-x64-gtkwave
darwin-x64-verilator
20m 57s
darwin-x64-verilator
darwin-x64-iverilog
9s
darwin-x64-iverilog
darwin-x64-ecpdap
9s
darwin-x64-ecpdap
darwin-x64-fujprog
4s
darwin-x64-fujprog
darwin-x64-iceprogduino
8s
darwin-x64-iceprogduino
darwin-x64-openocd
21s
darwin-x64-openocd
darwin-x64-icesprog
7s
darwin-x64-icesprog
darwin-x64-utils
11s
darwin-x64-utils
darwin-x64-system-resources
9s
darwin-x64-system-resources
darwin-x64-numpy
11s
darwin-x64-numpy
darwin-x64-xdot
6s
darwin-x64-xdot
darwin-x64-nextpnr-generic
14s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
18s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
22s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
14m 21s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
17s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-gowin
2m 46s
darwin-x64-nextpnr-gowin
darwin-x64-flask
6s
darwin-x64-flask
darwin-x64-python-programmers
9s
darwin-x64-python-programmers
darwin-x64-pyhdl
13s
darwin-x64-pyhdl
darwin-x64-cocotb
10s
darwin-x64-cocotb
darwin-x64-boolector
9s
darwin-x64-boolector
darwin-x64-bitwuzla
13s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
7s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
5s
darwin-x64-aiger
darwin-x64-cvc5
20s
darwin-x64-cvc5
darwin-x64-apicula
10s
darwin-x64-apicula
darwin-x64-smt-switch
12s
darwin-x64-smt-switch
darwin-x64-pono
15s
darwin-x64-pono
darwin-x64-default
6m 1s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

6 errors
darwin-x64-ghdl
Process completed with exit code 255.
darwin-x64-nextpnr-gowin
Process completed with exit code 255.
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
darwin-x64-verilator
The runner has received a shutdown signal. This can happen when the runner service is stopped, or a manually started runner is canceled.
darwin-x64-verilator
Process completed with exit code 143.
darwin-x64-ghdl-yosys-plugin
Process completed with exit code 2.