Skip to content

darwin-x64

darwin-x64 #867

Triggered via workflow run July 22, 2023 02:26
@mmickommicko
completed 0d2d0ec
Status Failure
Total duration 49m 20s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-python3
42s
darwin-x64-python3
darwin-x64-cadical
8s
darwin-x64-cadical
darwin-x64-lingeling
8s
darwin-x64-lingeling
darwin-x64-btor2tools
5s
darwin-x64-btor2tools
darwin-x64-ghdl
2m 9s
darwin-x64-ghdl
darwin-x64-picosat
8s
darwin-x64-picosat
darwin-x64-libpoly
5s
darwin-x64-libpoly
darwin-x64-graphviz
17s
darwin-x64-graphviz
darwin-x64-icestorm
5s
darwin-x64-icestorm
darwin-x64-prjtrellis
10s
darwin-x64-prjtrellis
darwin-x64-prjoxide
15s
darwin-x64-prjoxide
darwin-x64-dfu-util
5s
darwin-x64-dfu-util
darwin-x64-ecpprog
4s
darwin-x64-ecpprog
darwin-x64-openfpgaloader
5s
darwin-x64-openfpgaloader
darwin-x64-avy
10s
darwin-x64-avy
darwin-x64-cvc4
22s
darwin-x64-cvc4
darwin-x64-yices
11s
darwin-x64-yices
darwin-x64-z3
20m 1s
darwin-x64-z3
darwin-x64-mcy
6s
darwin-x64-mcy
darwin-x64-sby
5s
darwin-x64-sby
darwin-x64-sby-gui
8s
darwin-x64-sby-gui
darwin-x64-gtkwave
5s
darwin-x64-gtkwave
darwin-x64-verilator
48m 42s
darwin-x64-verilator
darwin-x64-iverilog
12s
darwin-x64-iverilog
darwin-x64-ecpdap
8s
darwin-x64-ecpdap
darwin-x64-fujprog
5s
darwin-x64-fujprog
darwin-x64-iceprogduino
9s
darwin-x64-iceprogduino
darwin-x64-openocd
14s
darwin-x64-openocd
darwin-x64-icesprog
6s
darwin-x64-icesprog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
7s
darwin-x64-system-resources
darwin-x64-numpy
17s
darwin-x64-numpy
darwin-x64-xdot
10s
darwin-x64-xdot
darwin-x64-nextpnr-generic
9s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
22s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
24s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
9m 50s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
21s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-gowin
2m 13s
darwin-x64-nextpnr-gowin
darwin-x64-flask
6s
darwin-x64-flask
darwin-x64-python-programmers
13s
darwin-x64-python-programmers
darwin-x64-pyhdl
2m 22s
darwin-x64-pyhdl
darwin-x64-cocotb
9s
darwin-x64-cocotb
darwin-x64-boolector
10s
darwin-x64-boolector
darwin-x64-bitwuzla
12s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
2m 48s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
8s
darwin-x64-aiger
darwin-x64-cvc5
22s
darwin-x64-cvc5
darwin-x64-apicula
11s
darwin-x64-apicula
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
11s
darwin-x64-pono
darwin-x64-default
0s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

4 errors
darwin-x64-ghdl
Process completed with exit code 255.
darwin-x64-nextpnr-gowin
Process completed with exit code 255.
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
darwin-x64-verilator
The hosted runner: GitHub Actions 11 lost communication with the server. Anything in your workflow that terminates the runner process, starves it for CPU/Memory, or blocks its network access can cause this error.