darwin-x64 #927
darwin-x64.yml
on: workflow_run
darwin-x64-python3
50s
darwin-x64-cadical
2m 27s
darwin-x64-yosys
12s
darwin-x64-ghdl
2m 19s
darwin-x64-picosat
11s
darwin-x64-libpoly
11s
darwin-x64-graphviz
11s
darwin-x64-icestorm
6s
darwin-x64-prjtrellis
17s
darwin-x64-prjoxide
12s
darwin-x64-dfu-util
5s
darwin-x64-ecpprog
5s
darwin-x64-openfpgaloader
9s
darwin-x64-avy
11s
darwin-x64-cvc4
14s
darwin-x64-yices
7s
darwin-x64-z3
17s
darwin-x64-mcy
11s
darwin-x64-sby
11s
darwin-x64-sby-gui
5s
darwin-x64-gtkwave
11s
darwin-x64-verilator
13m 2s
darwin-x64-iverilog
9s
darwin-x64-ecpdap
10s
darwin-x64-fujprog
11s
darwin-x64-iceprogduino
11s
darwin-x64-openocd
14s
darwin-x64-icesprog
12s
darwin-x64-utils
6s
darwin-x64-system-resources
12s
darwin-x64-xdot
12s
darwin-x64-nextpnr-generic
7m 0s
darwin-x64-nextpnr-ice40
12m 20s
darwin-x64-nextpnr-ecp5
7m 37s
darwin-x64-nextpnr-machxo2
45m 42s
darwin-x64-nextpnr-nexus
9m 24s
darwin-x64-nextpnr-gowin
8m 56s
darwin-x64-flask
9s
darwin-x64-python-programmers
12s
darwin-x64-pyhdl
2m 55s
darwin-x64-cocotb
6s
darwin-x64-mau
7s
darwin-x64-scy
13s
darwin-x64-default
0s
Annotations
4 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-cadical
Process completed with exit code 255.
|
darwin-x64-pyhdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-machxo2
The hosted runner: GitHub Actions 6 lost communication with the server. Anything in your workflow that terminates the runner process, starves it for CPU/Memory, or blocks its network access can cause this error.
|