Skip to content

darwin-x64

darwin-x64 #1247

Triggered via workflow run June 24, 2024 01:53
@mmickommicko
completed a184f3c
Status Success
Total duration 7m 55s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
11s
darwin-x64-cadical
darwin-x64-yosys
10s
darwin-x64-yosys
darwin-x64-python3
27s
darwin-x64-python3
darwin-x64-lingeling
4s
darwin-x64-lingeling
darwin-x64-btor2tools
9s
darwin-x64-btor2tools
darwin-x64-ghdl
1m 44s
darwin-x64-ghdl
darwin-x64-picosat
9s
darwin-x64-picosat
darwin-x64-libpoly
6s
darwin-x64-libpoly
darwin-x64-graphviz
18s
darwin-x64-graphviz
darwin-x64-icestorm
6s
darwin-x64-icestorm
darwin-x64-prjtrellis
5s
darwin-x64-prjtrellis
darwin-x64-prjoxide
5s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
10s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
9s
darwin-x64-cvc4
darwin-x64-yices
6s
darwin-x64-yices
darwin-x64-z3
7s
darwin-x64-z3
darwin-x64-gtkwave
6s
darwin-x64-gtkwave
darwin-x64-verilator
12s
darwin-x64-verilator
darwin-x64-iverilog
10s
darwin-x64-iverilog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
6s
darwin-x64-system-resources
darwin-x64-eqy
5s
darwin-x64-eqy
darwin-x64-xdot
9s
darwin-x64-xdot
darwin-x64-formal
10s
darwin-x64-formal
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
14s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
19s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
19s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
7s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
10s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
1m 39s
darwin-x64-apicula
darwin-x64-python-programmers
16s
darwin-x64-python-programmers
darwin-x64-pyhdl
2m 7s
darwin-x64-pyhdl
darwin-x64-cocotb
2m 24s
darwin-x64-cocotb
darwin-x64-boolector
12s
darwin-x64-boolector
darwin-x64-bitwuzla
5s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
1m 38s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
9s
darwin-x64-aiger
darwin-x64-cvc5
9s
darwin-x64-cvc5
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
15s
darwin-x64-pono
darwin-x64-default
3m 55s
darwin-x64-default
Fit to window
Zoom out
Zoom in