Skip to content

darwin-x64

darwin-x64 #1254

Triggered via workflow run July 1, 2024 02:09
@mmickommicko
completed a184f3c
Status Success
Total duration 19m 7s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
8s
darwin-x64-yosys
darwin-x64-python3
19s
darwin-x64-python3
darwin-x64-lingeling
3s
darwin-x64-lingeling
darwin-x64-btor2tools
9s
darwin-x64-btor2tools
darwin-x64-ghdl
1m 47s
darwin-x64-ghdl
darwin-x64-picosat
4s
darwin-x64-picosat
darwin-x64-libpoly
7s
darwin-x64-libpoly
darwin-x64-graphviz
15s
darwin-x64-graphviz
darwin-x64-icestorm
5s
darwin-x64-icestorm
darwin-x64-prjtrellis
11s
darwin-x64-prjtrellis
darwin-x64-prjoxide
3s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
6s
darwin-x64-openfpgaloader
darwin-x64-avy
10s
darwin-x64-avy
darwin-x64-cvc4
10s
darwin-x64-cvc4
darwin-x64-yices
5s
darwin-x64-yices
darwin-x64-z3
14m 3s
darwin-x64-z3
darwin-x64-gtkwave
5s
darwin-x64-gtkwave
darwin-x64-verilator
9m 20s
darwin-x64-verilator
darwin-x64-iverilog
4m 20s
darwin-x64-iverilog
darwin-x64-utils
4m 39s
darwin-x64-utils
darwin-x64-system-resources
11s
darwin-x64-system-resources
darwin-x64-eqy
11s
darwin-x64-eqy
darwin-x64-xdot
15s
darwin-x64-xdot
darwin-x64-formal
12s
darwin-x64-formal
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
15s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
14s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
14s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
8s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
9s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
9s
darwin-x64-apicula
darwin-x64-python-programmers
16s
darwin-x64-python-programmers
darwin-x64-pyhdl
2m 4s
darwin-x64-pyhdl
darwin-x64-cocotb
9s
darwin-x64-cocotb
darwin-x64-boolector
12s
darwin-x64-boolector
darwin-x64-bitwuzla
12s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
1m 34s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
6s
darwin-x64-aiger
darwin-x64-cvc5
16s
darwin-x64-cvc5
darwin-x64-smt-switch
6s
darwin-x64-smt-switch
darwin-x64-pono
6s
darwin-x64-pono
darwin-x64-default
4m 16s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-x64-utils
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/692973e3d937129bcbf40652eb9f2f61becf3332'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-utils
Back off 20.758 seconds before retry.
darwin-x64-utils
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/692973e3d937129bcbf40652eb9f2f61becf3332'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-utils
Back off 12.838 seconds before retry.