Skip to content

darwin-x64

darwin-x64 #1259

Triggered via workflow run July 6, 2024 01:52
@mmickommicko
completed 48abf0c
Status Success
Total duration 13m 7s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
6s
darwin-x64-cadical
darwin-x64-yosys
11s
darwin-x64-yosys
darwin-x64-python3
23s
darwin-x64-python3
darwin-x64-lingeling
11s
darwin-x64-lingeling
darwin-x64-btor2tools
5s
darwin-x64-btor2tools
darwin-x64-ghdl
1m 39s
darwin-x64-ghdl
darwin-x64-picosat
9s
darwin-x64-picosat
darwin-x64-libpoly
6s
darwin-x64-libpoly
darwin-x64-graphviz
24s
darwin-x64-graphviz
darwin-x64-icestorm
11s
darwin-x64-icestorm
darwin-x64-prjtrellis
11s
darwin-x64-prjtrellis
darwin-x64-prjoxide
4s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
6s
darwin-x64-openfpgaloader
darwin-x64-avy
3s
darwin-x64-avy
darwin-x64-cvc4
15s
darwin-x64-cvc4
darwin-x64-yices
5s
darwin-x64-yices
darwin-x64-z3
13s
darwin-x64-z3
darwin-x64-gtkwave
4s
darwin-x64-gtkwave
darwin-x64-verilator
8m 43s
darwin-x64-verilator
darwin-x64-iverilog
7s
darwin-x64-iverilog
darwin-x64-utils
11s
darwin-x64-utils
darwin-x64-system-resources
8s
darwin-x64-system-resources
darwin-x64-eqy
5s
darwin-x64-eqy
darwin-x64-xdot
21s
darwin-x64-xdot
darwin-x64-formal
14s
darwin-x64-formal
darwin-x64-nextpnr-generic
14s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
20s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
18s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
25s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
7s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
16s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
17s
darwin-x64-apicula
darwin-x64-python-programmers
10s
darwin-x64-python-programmers
darwin-x64-pyhdl
1m 59s
darwin-x64-pyhdl
darwin-x64-cocotb
2m 23s
darwin-x64-cocotb
darwin-x64-boolector
5s
darwin-x64-boolector
darwin-x64-bitwuzla
12s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
1m 30s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
5s
darwin-x64-aiger
darwin-x64-cvc5
15s
darwin-x64-cvc5
darwin-x64-smt-switch
8s
darwin-x64-smt-switch
darwin-x64-pono
7s
darwin-x64-pono
darwin-x64-default
3m 54s
darwin-x64-default
Fit to window
Zoom out
Zoom in