Skip to content

darwin-x64

darwin-x64 #1260

Triggered via workflow run July 7, 2024 02:08
@mmickommicko
completed 48abf0c
Status Success
Total duration 19m 21s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
9s
darwin-x64-cadical
darwin-x64-python3
21s
darwin-x64-python3
darwin-x64-lingeling
9s
darwin-x64-lingeling
darwin-x64-btor2tools
9s
darwin-x64-btor2tools
darwin-x64-ghdl
1m 44s
darwin-x64-ghdl
darwin-x64-picosat
9s
darwin-x64-picosat
darwin-x64-libpoly
9s
darwin-x64-libpoly
darwin-x64-graphviz
25s
darwin-x64-graphviz
darwin-x64-icestorm
6s
darwin-x64-icestorm
darwin-x64-prjtrellis
12s
darwin-x64-prjtrellis
darwin-x64-prjoxide
5s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
2m 17s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
7s
darwin-x64-cvc4
darwin-x64-yices
6s
darwin-x64-yices
darwin-x64-z3
7s
darwin-x64-z3
darwin-x64-gtkwave
7s
darwin-x64-gtkwave
darwin-x64-verilator
8m 51s
darwin-x64-verilator
darwin-x64-iverilog
4s
darwin-x64-iverilog
darwin-x64-utils
24s
darwin-x64-utils
darwin-x64-system-resources
10s
darwin-x64-system-resources
darwin-x64-xdot
19s
darwin-x64-xdot
darwin-x64-formal
4m 46s
darwin-x64-formal
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
18s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
14s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
15s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
15s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
11s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
12s
darwin-x64-apicula
darwin-x64-python-programmers
17s
darwin-x64-python-programmers
darwin-x64-pyhdl
12s
darwin-x64-pyhdl
darwin-x64-cocotb
16s
darwin-x64-cocotb
darwin-x64-boolector
17s
darwin-x64-boolector
darwin-x64-bitwuzla
13s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
1m 36s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
12s
darwin-x64-aiger
darwin-x64-cvc5
15s
darwin-x64-cvc5
darwin-x64-smt-switch
7s
darwin-x64-smt-switch
darwin-x64-pono
13s
darwin-x64-pono
darwin-x64-default
3m 53s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-x64-formal
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/692973e3d937129bcbf40652eb9f2f61becf3332'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-formal
Back off 17.674 seconds before retry.
darwin-x64-formal
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/692973e3d937129bcbf40652eb9f2f61becf3332'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-x64-formal
Back off 22.772 seconds before retry.