Skip to content

darwin-x64

darwin-x64 #1261

Triggered via workflow run July 8, 2024 01:53
@mmickommicko
completed 48abf0c
Status Success
Total duration 7m 21s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
5s
darwin-x64-yosys
darwin-x64-python3
31s
darwin-x64-python3
darwin-x64-lingeling
13s
darwin-x64-lingeling
darwin-x64-btor2tools
5s
darwin-x64-btor2tools
darwin-x64-ghdl
12s
darwin-x64-ghdl
darwin-x64-picosat
4s
darwin-x64-picosat
darwin-x64-libpoly
15s
darwin-x64-libpoly
darwin-x64-graphviz
24s
darwin-x64-graphviz
darwin-x64-icestorm
8s
darwin-x64-icestorm
darwin-x64-prjtrellis
5s
darwin-x64-prjtrellis
darwin-x64-prjoxide
6s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
4s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
9s
darwin-x64-cvc4
darwin-x64-yices
6s
darwin-x64-yices
darwin-x64-z3
12s
darwin-x64-z3
darwin-x64-gtkwave
4s
darwin-x64-gtkwave
darwin-x64-verilator
12s
darwin-x64-verilator
darwin-x64-iverilog
7s
darwin-x64-iverilog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
7s
darwin-x64-system-resources
darwin-x64-eqy
4s
darwin-x64-eqy
darwin-x64-xdot
15s
darwin-x64-xdot
darwin-x64-formal
10s
darwin-x64-formal
darwin-x64-nextpnr-generic
7s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
21s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
24s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
21s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
10s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
12s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
10s
darwin-x64-apicula
darwin-x64-python-programmers
12s
darwin-x64-python-programmers
darwin-x64-pyhdl
2m 9s
darwin-x64-pyhdl
darwin-x64-cocotb
11s
darwin-x64-cocotb
darwin-x64-boolector
12s
darwin-x64-boolector
darwin-x64-bitwuzla
5s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
6s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
10s
darwin-x64-aiger
darwin-x64-cvc5
7s
darwin-x64-cvc5
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
20s
darwin-x64-pono
darwin-x64-default
3m 57s
darwin-x64-default
Fit to window
Zoom out
Zoom in