Skip to content

darwin-x64

darwin-x64 #1266

Triggered via workflow run July 13, 2024 01:55
@mmickommicko
completed f0b186a
Status Success
Total duration 14m 58s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
8s
darwin-x64-yosys
darwin-x64-python3
26s
darwin-x64-python3
darwin-x64-lingeling
9s
darwin-x64-lingeling
darwin-x64-btor2tools
5s
darwin-x64-btor2tools
darwin-x64-ghdl
12s
darwin-x64-ghdl
darwin-x64-picosat
13s
darwin-x64-picosat
darwin-x64-libpoly
7s
darwin-x64-libpoly
darwin-x64-graphviz
41s
darwin-x64-graphviz
darwin-x64-icestorm
6s
darwin-x64-icestorm
darwin-x64-prjtrellis
6s
darwin-x64-prjtrellis
darwin-x64-prjoxide
9s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
9s
darwin-x64-openfpgaloader
darwin-x64-avy
5s
darwin-x64-avy
darwin-x64-cvc4
12s
darwin-x64-cvc4
darwin-x64-yices
7s
darwin-x64-yices
darwin-x64-z3
5s
darwin-x64-z3
darwin-x64-gtkwave
14s
darwin-x64-gtkwave
darwin-x64-verilator
10m 3s
darwin-x64-verilator
darwin-x64-iverilog
5s
darwin-x64-iverilog
darwin-x64-utils
10s
darwin-x64-utils
darwin-x64-system-resources
6s
darwin-x64-system-resources
darwin-x64-eqy
4s
darwin-x64-eqy
darwin-x64-xdot
16s
darwin-x64-xdot
darwin-x64-formal
29s
darwin-x64-formal
darwin-x64-nextpnr-generic
7s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
20s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
18s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
17s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
8s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
10s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
9s
darwin-x64-apicula
darwin-x64-python-programmers
14s
darwin-x64-python-programmers
darwin-x64-pyhdl
1m 55s
darwin-x64-pyhdl
darwin-x64-cocotb
2m 25s
darwin-x64-cocotb
darwin-x64-boolector
11s
darwin-x64-boolector
darwin-x64-bitwuzla
7s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
7s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
11s
darwin-x64-aiger
darwin-x64-cvc5
15s
darwin-x64-cvc5
darwin-x64-smt-switch
12s
darwin-x64-smt-switch
darwin-x64-pono
7s
darwin-x64-pono
darwin-x64-default
4m 16s
darwin-x64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
darwin-x64-verilator
Error 500: Connect Timeout Error