Skip to content

darwin-x64

darwin-x64 #1268

Triggered via workflow run July 15, 2024 01:59
@mmickommicko
completed f0b186a
Status Success
Total duration 13m 47s
Artifacts

darwin-x64.yml

on: workflow_run
darwin-x64-cadical
5s
darwin-x64-cadical
darwin-x64-yosys
14s
darwin-x64-yosys
darwin-x64-python3
19s
darwin-x64-python3
darwin-x64-lingeling
5s
darwin-x64-lingeling
darwin-x64-btor2tools
4s
darwin-x64-btor2tools
darwin-x64-ghdl
12s
darwin-x64-ghdl
darwin-x64-picosat
9s
darwin-x64-picosat
darwin-x64-libpoly
8s
darwin-x64-libpoly
darwin-x64-graphviz
35s
darwin-x64-graphviz
darwin-x64-icestorm
7s
darwin-x64-icestorm
darwin-x64-prjtrellis
6s
darwin-x64-prjtrellis
darwin-x64-prjoxide
10s
darwin-x64-prjoxide
darwin-x64-openfpgaloader
6s
darwin-x64-openfpgaloader
darwin-x64-avy
6s
darwin-x64-avy
darwin-x64-cvc4
8s
darwin-x64-cvc4
darwin-x64-yices
9s
darwin-x64-yices
darwin-x64-z3
6s
darwin-x64-z3
darwin-x64-gtkwave
12s
darwin-x64-gtkwave
darwin-x64-verilator
8m 50s
darwin-x64-verilator
darwin-x64-iverilog
12s
darwin-x64-iverilog
darwin-x64-utils
17s
darwin-x64-utils
darwin-x64-system-resources
8s
darwin-x64-system-resources
darwin-x64-eqy
4s
darwin-x64-eqy
darwin-x64-xdot
10s
darwin-x64-xdot
darwin-x64-formal
22s
darwin-x64-formal
darwin-x64-nextpnr-generic
6s
darwin-x64-nextpnr-generic
darwin-x64-nextpnr-ice40
13s
darwin-x64-nextpnr-ice40
darwin-x64-nextpnr-ecp5
18s
darwin-x64-nextpnr-ecp5
darwin-x64-nextpnr-machxo2
20s
darwin-x64-nextpnr-machxo2
darwin-x64-nextpnr-nexus
14s
darwin-x64-nextpnr-nexus
darwin-x64-nextpnr-himbaechel
11s
darwin-x64-nextpnr-himbaechel
darwin-x64-apicula
15s
darwin-x64-apicula
darwin-x64-python-programmers
11s
darwin-x64-python-programmers
darwin-x64-pyhdl
16s
darwin-x64-pyhdl
darwin-x64-cocotb
10s
darwin-x64-cocotb
darwin-x64-boolector
11s
darwin-x64-boolector
darwin-x64-bitwuzla
7s
darwin-x64-bitwuzla
darwin-x64-ghdl-yosys-plugin
10s
darwin-x64-ghdl-yosys-plugin
darwin-x64-aiger
5s
darwin-x64-aiger
darwin-x64-cvc5
13s
darwin-x64-cvc5
darwin-x64-smt-switch
13s
darwin-x64-smt-switch
darwin-x64-pono
15s
darwin-x64-pono
darwin-x64-default
4m 15s
darwin-x64-default
Fit to window
Zoom out
Zoom in