diff --git a/default/scripts/ghdl-yosys-plugin.sh b/default/scripts/ghdl-yosys-plugin.sh index 13c49e1..a232519 100644 --- a/default/scripts/ghdl-yosys-plugin.sh +++ b/default/scripts/ghdl-yosys-plugin.sh @@ -2,7 +2,7 @@ cd ghdl-yosys-plugin sed -i 's,/yosyshq/share,/yosys/yosyshq/share,g' ../yosys/yosyshq/bin/yosys-config if [ ${ARCH} == 'darwin-x64' ]; then sed -i '11,13d' Makefile - make GHDL=../ghdl/yosyshq/bin/ghdl YOSYS_CONFIG=../yosys/yosyshq/bin/yosys-config CFLAGS="-I ../yosys/yosyshq/share/yosys/include" LIBGHDL_LIB="${BUILD_DIR}/ghdl${INSTALL_PREFIX}/lib/libghdl-4_0_0_dev.dylib" LIBGHDL_INC="${BUILD_DIR}/ghdl${INSTALL_PREFIX}/include/" + make GHDL=../ghdl/yosyshq/bin/ghdl YOSYS_CONFIG=../yosys/yosyshq/bin/yosys-config CFLAGS="-I ../yosys/yosyshq/share/yosys/include" LIBGHDL_LIB="${BUILD_DIR}/ghdl${INSTALL_PREFIX}/lib/libghdl-5_0_0_dev.dylib" LIBGHDL_INC="${BUILD_DIR}/ghdl${INSTALL_PREFIX}/include/" else make GHDL=../ghdl/yosyshq/bin/ghdl YOSYS_CONFIG=../yosys/yosyshq/bin/yosys-config CFLAGS="-I ../yosys/yosyshq/share/yosys/include" fi diff --git a/default/scripts/ghdl.sh b/default/scripts/ghdl.sh index 08413ac..58030d1 100644 --- a/default/scripts/ghdl.sh +++ b/default/scripts/ghdl.sh @@ -12,7 +12,7 @@ elif [ ${ARCH} == 'darwin-x64' ]; then wget https://github.com/ghdl/ghdl/releases/download/nightly/ghdl-macos-11-mcode.tgz mkdir -p ${OUTPUT_DIR}${INSTALL_PREFIX} tar xvfz ghdl-macos-11-mcode.tgz -C ${OUTPUT_DIR}${INSTALL_PREFIX} - install_name_tool -id @executable_path/../lib/libghdl-4_0_0_dev.dylib ${OUTPUT_DIR}${INSTALL_PREFIX}/lib/libghdl-4_0_0_dev.dylib + install_name_tool -id @executable_path/../lib/libghdl-5_0_0_dev.dylib ${OUTPUT_DIR}${INSTALL_PREFIX}/lib/libghdl-5_0_0_dev.dylib wget https://github.com/mmicko/macos-resources/releases/download/v2/libgnat-2019.dylib cp libgnat-2019.dylib ${OUTPUT_DIR}${INSTALL_PREFIX}/lib/. exit 0