Skip to content

Commit

Permalink
Create separate job for chip db and clean up
Browse files Browse the repository at this point in the history
  • Loading branch information
mmicko committed Jun 2, 2024
1 parent efaf6d1 commit d19749d
Show file tree
Hide file tree
Showing 10 changed files with 68 additions and 285 deletions.
53 changes: 5 additions & 48 deletions .github/workflows/darwin-arm64.yml
Original file line number Diff line number Diff line change
Expand Up @@ -395,47 +395,6 @@ jobs:
tag: bucket-darwin-arm64
artifacts: "darwin-arm64-nextpnr-nexus.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
darwin-arm64-nextpnr-gowin:
runs-on: ubuntu-latest
continue-on-error: true
needs: darwin-arm64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-gowin
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download darwin-arm64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-apicula-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-gowin --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('darwin-arm64-nextpnr-gowin.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-darwin-arm64
artifacts: "darwin-arm64-nextpnr-gowin.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
darwin-arm64-nextpnr-himbaechel:
runs-on: ubuntu-latest
continue-on-error: true
Expand All @@ -460,12 +419,10 @@ jobs:
fi
- name: Download darwin-arm64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-apicula-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-himbaechel --single --tar
- uses: ncipollo/release-action@v1
Expand Down Expand Up @@ -1489,7 +1446,7 @@ jobs:
token: ${{ secrets.GITHUB_TOKEN }}
darwin-arm64-default:
runs-on: ubuntu-latest
needs: [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-eqy, darwin-arm64-formal, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-icestorm, darwin-arm64-iverilog, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-gowin, darwin-arm64-nextpnr-himbaechel, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-openfpgaloader, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjtrellis, darwin-arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-z3 ]
needs: [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-eqy, darwin-arm64-formal, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-icestorm, darwin-arm64-iverilog, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-himbaechel, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-openfpgaloader, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjtrellis, darwin-arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-z3 ]
steps:
- name: Get current date
id: date
Expand Down Expand Up @@ -1527,8 +1484,6 @@ jobs:
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-arm64-nextpnr-generic
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-arm64-nextpnr-gowin
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-arm64-nextpnr-himbaechel
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-arm64-nextpnr-ice40
Expand Down Expand Up @@ -1565,6 +1520,8 @@ jobs:
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-arm64-z3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-himbaechel-gowin
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=darwin-arm64 --target=default --single
- uses: ncipollo/release-action@v1
Expand Down
49 changes: 3 additions & 46 deletions .github/workflows/darwin-x64.yml
Original file line number Diff line number Diff line change
Expand Up @@ -468,47 +468,6 @@ jobs:
tag: bucket-darwin-x64
artifacts: "darwin-x64-nextpnr-nexus.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
darwin-x64-nextpnr-gowin:
runs-on: ubuntu-latest
continue-on-error: true
needs: darwin-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-gowin
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download darwin-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-apicula-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=darwin-x64 --target=nextpnr-gowin --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('darwin-x64-nextpnr-gowin.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-darwin-x64
artifacts: "darwin-x64-nextpnr-gowin.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
darwin-x64-nextpnr-himbaechel:
runs-on: ubuntu-latest
continue-on-error: true
Expand All @@ -533,8 +492,6 @@ jobs:
fi
- name: Download darwin-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-apicula-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-python3-native
Expand Down Expand Up @@ -1601,7 +1558,7 @@ jobs:
token: ${{ secrets.GITHUB_TOKEN }}
darwin-x64-default:
runs-on: ubuntu-latest
needs: [ darwin-x64-aiger, darwin-x64-apicula, darwin-x64-avy, darwin-x64-bitwuzla, darwin-x64-boolector, darwin-x64-cocotb, darwin-x64-cvc4, darwin-x64-cvc5, darwin-x64-eqy, darwin-x64-formal, darwin-x64-ghdl, darwin-x64-ghdl-yosys-plugin, darwin-x64-graphviz, darwin-x64-gtkwave, darwin-x64-icestorm, darwin-x64-iverilog, darwin-x64-nextpnr-ecp5, darwin-x64-nextpnr-generic, darwin-x64-nextpnr-gowin, darwin-x64-nextpnr-himbaechel, darwin-x64-nextpnr-ice40, darwin-x64-nextpnr-machxo2, darwin-x64-nextpnr-nexus, darwin-x64-openfpgaloader, darwin-x64-pono, darwin-x64-prjoxide, darwin-x64-prjtrellis, darwin-x64-pyhdl, darwin-x64-python-programmers, darwin-x64-python3, darwin-x64-system-resources, darwin-x64-utils, darwin-x64-verilator, darwin-x64-xdot, darwin-x64-yices, darwin-x64-yosys, darwin-x64-z3 ]
needs: [ darwin-x64-aiger, darwin-x64-apicula, darwin-x64-avy, darwin-x64-bitwuzla, darwin-x64-boolector, darwin-x64-cocotb, darwin-x64-cvc4, darwin-x64-cvc5, darwin-x64-eqy, darwin-x64-formal, darwin-x64-ghdl, darwin-x64-ghdl-yosys-plugin, darwin-x64-graphviz, darwin-x64-gtkwave, darwin-x64-icestorm, darwin-x64-iverilog, darwin-x64-nextpnr-ecp5, darwin-x64-nextpnr-generic, darwin-x64-nextpnr-himbaechel, darwin-x64-nextpnr-ice40, darwin-x64-nextpnr-machxo2, darwin-x64-nextpnr-nexus, darwin-x64-openfpgaloader, darwin-x64-pono, darwin-x64-prjoxide, darwin-x64-prjtrellis, darwin-x64-pyhdl, darwin-x64-python-programmers, darwin-x64-python3, darwin-x64-system-resources, darwin-x64-utils, darwin-x64-verilator, darwin-x64-xdot, darwin-x64-yices, darwin-x64-yosys, darwin-x64-z3 ]
steps:
- name: Get current date
id: date
Expand Down Expand Up @@ -1645,8 +1602,6 @@ jobs:
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-x64-nextpnr-generic
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-x64-nextpnr-gowin
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-x64-nextpnr-himbaechel
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-x64-nextpnr-ice40
Expand Down Expand Up @@ -1683,6 +1638,8 @@ jobs:
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download darwin-x64-z3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-himbaechel-gowin
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=darwin-x64 --target=default --single
- uses: ncipollo/release-action@v1
Expand Down
Loading

0 comments on commit d19749d

Please sign in to comment.