diff --git a/main/.buildinfo b/main/.buildinfo new file mode 100644 index 000000000..892b87dbe --- /dev/null +++ b/main/.buildinfo @@ -0,0 +1,4 @@ +# Sphinx build info version 1 +# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. +config: 21a6ae685dbed3f6be20b83138856004 +tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/main/.nojekyll b/main/.nojekyll new file mode 100644 index 000000000..e69de29bb diff --git a/main/_sources/attr/index.rst.txt b/main/_sources/attr/index.rst.txt new file mode 100644 index 000000000..f4a055a79 --- /dev/null +++ b/main/_sources/attr/index.rst.txt @@ -0,0 +1,22 @@ +Attributes +================== + +To simplify hardware configuration through different IIO drivers, basic class properties are exposed at the top-level of each device specific class. These properties abstract away the need to know a specific channel name, attribute type, source device name, and other details required in the libIIO API. Instead properties have easy to understand names, documentation, and error handling to help manage interfacing with different hardware. Property data can be read and written as follows from a given device interface class: + +.. code-block:: python + + import adi + + lidar = adi.fmclidar1() + # Read current pulse width + print(lidar.laser_pulse_width) + # Change laser frequency to 1 MHz + lidar.laser_frequency = 1000000 + +If more detail is required about a specific property it can be directly inspected in the class definitions documnentation or in python itself through the help methods: + + +.. literalinclude:: pluto_help.cli + :language: none + +For complete documentation about class properties reference the :doc:`supported devices` classes. diff --git a/main/_sources/buffers/index.rst.txt b/main/_sources/buffers/index.rst.txt new file mode 100644 index 000000000..2a820d29e --- /dev/null +++ b/main/_sources/buffers/index.rst.txt @@ -0,0 +1,164 @@ +Buffers +================== + +Using buffers or transmitting and receiving data is done through interacting with two methods. + +For receivers this is the **rx** method. How data is captured and therefore produced by this method is dependent on two main properties: + +* **rx_enabled_channels**: This is an array of integers (or channel names) and the number of elements in the array will determine the number of list items returned by **rx**. For devices with complex data types these are the indexes of the complex channels, not the individual I or Q channels. When len(**rx_enabled_channels**) == 1, **rx** will return just a single array and not a single array within a list. +* **rx_buffer_size**: This is the number of samples returned in each array within the list. If the device produces complex data, like a transceiver, it will return complex data. This is defined by the author of each device specific class. + +For transmitters this is the **tx** method. How data is sent and therefore must be passed by this method is dependent on one main property: + +* **tx_enabled_channels**: This is an array of integers and the number of elements in the array will determine the number of items in the list to be submitted to **tx**. Like for **rx_enabled_channels**, devices with complex data types these are the indexes of the complex channels, not the individual I or Q channels. When only a single channel is enabled the data can be passed to **tx** as just an array and not an array within a list. + +**rx_enabled_channels** must have a length greater than zero but **tx_enabled_channels** can be set to None or an empty list. In this case when **tx** is called it must be called without inputs. This is a special case and will connect a zero source into the TX input stream within the FPGA for FPGA based devices. For background on how this internally works with FPGA based devices reference the generic `DAC driver `_. + +Cyclic Mode +-------------- +In many cases, it can be useful to continuously transmit a signal over and over, even for just debugging and testing. This can be especially handy when the hardware you are using has very high transmit or receive rates, and therefore impossible to keep providing data to in real-time. To complement these use cases it is possible to create transmit buffer which repeats, which we call **cyclic buffers**. Cyclic buffers are identical or normal or non-cyclic buffers, except when they reach hardware they will continuously repeat or be transmitted. Here is a small example on how to create a cyclic buffer: + +.. code-block:: python + + import adi + + sdr = adi.ad9361() + # Create a complex sinusoid + fc = 3000000 + N = 1024 + ts = 1 / 30000000.0 + t = np.arange(0, N * ts, ts) + i = np.cos(2 * np.pi * t * fc) * 2 ** 14 + q = np.sin(2 * np.pi * t * fc) * 2 ** 14 + iq = i + 1j * q + # Enable cyclic buffers + sdr.tx_cyclic_buffer = True + # Send data cyclically + sdr.tx(iq) + +At this point, the transmitter will keep transmitting the create sinusoid indefinitely until the buffer is destroyed or the *sdr* object destructor is called. Once data is pushed to hardware with a cyclic buffer the buffer must be manually destroyed or an error will occur if more data push. To update the buffer use the **tx_destroy_buffer** method before passing a new vector to the **tx** method. + +Annotated Buffers +------------------ + +By default buffers appear as an array or a list of arrays. This can be confusing if all your channels do not produce similar data. For example, for IMUs like ADI16495 certain channels are for acceleration data and others are for angular velocity. To label this data the *rx_annotated* property can be used. When setting it to True the output of the **rx** method will be a dictionary with keys as channel names. Here an example: + +.. code-block:: python + + import adi + + dev = adi.adis16495() + dev.rx_enabled_channels = [0, 3] + print(dev.rx()) + dev.rx_annotated = True + print(dev.rx()) + +With output + +.. code-block:: bash + + [array([ 35681, 84055, -175914, -203645, 698249, -51670, + -1770250, 1529968, 2586191, -5353355, -827741, 11736339, + -9847894, -17242014, 97421833, 277496774], dtype=int32), + array([ 49151, 753663, 3571711, 9928703, 18956287, + 25165823, 18612223, -10125313, -60850176, -114491392, + -131350528, -61521920, 135069695, 466845695, 899235839, + 1362378751], dtype=int32)] + {'accel_x': array([1775091711, 2072264703, 2147483647, 2147483647, 2147483647, + 2147483647, 2143404031, 2125430783, 2123120639, 2130821119, + 2139488255, 2144911359, 2147041279, 2147467263, 2147483647, + 2147483647], dtype=int32), + 'anglvel_x': array([357750219, 335109279, 323033231, 337667193, 337100396, 330408402, + 333459194, 335322576, 333247166, 333223475, 333996322, 333805525, + 333659152, 333664680, 333718473, 333895650], dtype=int32)} + + +Buffer Units +--------------- + +For certain devices it is possible to convert types to scientific units, such as volts, degrees, or meters per second among others. This is controlled by setting the property **rx_output_type** to either *raw* or *SI*. If set to *SI*, returned data from the **rx** method will be in scientific units (assuming its supported by the driver). Below is an example using an IMU: + +.. code-block:: python + + import adi + + dev = adi.adis16495() + dev.rx_annotated = True # Make channel names appear in data + dev.rx_enabled_channels = [3] # channel 0 is angular velocity in the x direction + print(dev.rx()) + dev.rx_output_type = "SI" + print(dev.rx()) + +With output + +.. code-block:: bash + + {'anglvel_x': array([ 35644, 84039, -175647, -203867, 697612, -50201, + -1770177, 1526291, 2589741, -5349126, -839188, 11738313, + -9824911, -17267701, 97333042, 277410285], dtype=int32)} + {'anglvel_x': array([9.29996712, 9.71257202, 9.40097973, 9.78345151, 9.77009362, + 9.59662456, 9.67300333, 9.71593538, 9.65847317, 9.6580597 , + 9.68022501, 9.67715545, 9.67511814, 9.67609361, 9.67323293, + 9.67104074])} + + +To understand the exact scaling the driver documentation should be reviewed. + +Members +-------------- +.. automodule:: adi.rx_tx + :members: + + +Buffer Examples +--------------- + +Collect data from one channel + +.. code-block:: python + + import adi + + sdr = adi.ad9361() + # Get complex data back + sdr.rx_enabled_channels = [0] + chan1 = sdr.rx() + +Collect data from two channels + +.. code-block:: python + + import adi + + sdr = adi.ad9361() + # Get both complex channel back + sdr.rx_enabled_channels = [0, 1] + data = sdr.rx() + chan1 = data[0] + chan2 = data[1] + +Send data on two channels + +.. code-block:: python + + import adi + import numpy as np + + # Create radio + sdr = adi.ad9371() + sdr.tx_enabled_channels = [0, 1] + # Create a sinewave waveform + N = 1024 + fs = int(sdr.tx_sample_rate) + fc = 40000000 + ts = 1 / float(fs) + t = np.arange(0, N * ts, ts) + i = np.cos(2 * np.pi * t * fc) * 2 ** 14 + q = np.sin(2 * np.pi * t * fc) * 2 ** 14 + iq = i + 1j * q + fc = -30000000 + i = np.cos(2 * np.pi * t * fc) * 2 ** 14 + q = np.sin(2 * np.pi * t * fc) * 2 ** 14 + iq2 = i + 1j * q + # Send data to both channels + sdr.tx([iq, iq2]) diff --git a/main/_sources/dev/index.rst.txt b/main/_sources/dev/index.rst.txt new file mode 100644 index 000000000..d3eae7169 --- /dev/null +++ b/main/_sources/dev/index.rst.txt @@ -0,0 +1,175 @@ +Developers +=================== + +.. warning:: + This section is only for developers and advanced users. + +When submitting code or running tests, there are a few ways things are done in pyadi-iio. + +Invoke +--------------------------- +To make repetitve tasks easier, pyadi-iio utilizes pyinvoke. To see the available options (once pyinvoke is installed) run: + +.. code-block:: console + + invoke --list + Available tasks: + + build Build python package + builddoc Build sphinx doc + changelog Print changelog from last release + checkparts Check for missing parts in supported_parts.md + createrelease Create GitHub release + libiiopath Search for libiio python bindings + precommit Run precommit checks + setup Install required python packages for development through pip + test Run pytest tests + + + +Precommit +--------------------------- +**pre-commit** is heavily relied on for keeping code in order and for eliminating certain bugs. Be sure to run these checks before submitting code. This can be run through pyinvoke or directly from the repo root as: + +.. code-block:: console + + invoke precommit + +.. code-block:: console + + pre-commit run --all-files + +Testing +--------------------------- + +Testing pyadi-iio requires hardware, but fortunately by default it assumes no hardware is connected unless found. It will only load specific tests for hardware it can find and skip all other tests. **pytest**, which is the framework pyadi-iio uses, can be call as following: + +.. code-block:: console + + invoke test + +.. code-block:: console + + python3 -m pytest + +Test Configuration +^^^^^^^^^^^^^^^^^^ + +There are several advanced features of pytest that are utilized by pyadi-iio. Specifically custom markers and custom plugins. + +Markers are a way of labeling tests, which can be then used to filter specific tests. Markers are provided through the `test_map.py `_ file in the test directory. These markers are used to map FPGA based boards with daughtercards to specific tests. `Reference design folder names `_ from the ADI SD cards are using as the markers, which them can be passed through the *-m* flag to enabled certain tests. For example, the following would enable all tests related to *ADRV9009*, assuming the hardware is available: + +.. code-block:: console + + python3 -m pytest -m zynqmp-zcu102-rev10-adrv9009 + + +To help manage libiio contexts, filter tests based on those contexts, and map drivers to board definitions, pyadi-iio utilizes the pytest plugin `pytest-libiio `_. This must be installed before tests are run since all test implementations rely on `pytest-libiio fixtures `_. Generally, pyadi-iio will also use the `standard hardware map `_ provided by *pytest-libiio* to map drivers to board definitions. To enable the hardware make requires the *--adi-hw-map* flag as: + +.. code-block:: console + + python3 -m pytest --adi-hw-map + +If you are working on a driver or board that is not in the hardware map, a custom one can be created as documentation in the `pytest-libiio CLI `_. + + + +New Hardware Requirements +^^^^^^^^^^^^^^^^^^^^^^^^^ + +In order to maintain pyadi-iio, for all new drivers the development team will require emulation contexts to be submitted alongside the new class interfaces. This is to ensure that the new drivers are tested and maintained. Emulation contexts can be created using `xml_gen `_. CI will automatically validate that all hardware interfaces have emulation contexts and prevent merging if they are missing. + +.. note:: + Note that xml_gen is not the same as iio_genxml, as iio_genxml does not capture default values of properties required for emulation. + + +Test Functions and Fixtures +^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +pyadi-iio has a large set of parameterizable fixtures for testing different device specific class interfaces. See the links belows to the different test categories: + +.. toctree:: + :maxdepth: 4 + + test_attr + test_dma + test_generics + + +Set Up Isolated Environment +--------------------------- + +This section will discuss a method to do isolated development with the correct package versions. The main purpose here is to eliminate any discrepancies that can arise (especially with the linting tools) when running precommit and other checks. This is also useful to not pollute your local global packages. The approach here relies upon leveraging **pyenv** and **pipenv** together. + + +Install pyenv +^^^^^^^^^^^^^^^^^ + +**pyenv** is a handy tool for installing different and isolated versions of python on your system. Since distributions can ship with rather random versions of python, pyenv can help us install exactly the versions we want. The quick way to install pyenv is with their bash script: + + +.. code-block:: bash + + curl https://pyenv.run | bash + + +Add to your path and shell startup script (.bashrc, .zshrc, ...) + +.. code-block:: bash + + export PATH="/home//.pyenv/bin:$PATH + eval "$(pyenv init -)" + eval "$(pyenv virtualenv-init -)" + + +Install the desired python version + +.. code-block:: bash + + pyenv install 3.6.9 + + +Create isolated install with pipenv +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +Get the repo, set python version, and setup env + +.. code-block:: bash + + pip3 install -U pipenv + pyenv local 3.6.9 + git clone git@github.com:analogdevicesinc/pyadi-iio.git + pipenv install + pipenv shell + pipenv install -r requirements.txt + pipenv install -r requirements_dev.txt + + +Now at this point we have all the necessary development packages to start working. If you close the current shell you will lose the environment. To return to it, go to the project folder and run: + +.. code-block:: bash + + cd + pyenv local 3.6.9 + pipenv shell + +Emulation +--------------------------- + +By leveraging `iio-emu `_, hardware or contexts can be emulated for testing without physical devices. However, currently this emulation does not validate attribute rates, states of drivers, or equivalent data sources. This feature should be used to test a library itself rather than hardware drivers. + +**pyadi-iio** uses *iio-emu* through *pytest-libiio*, which handles loading the correct context files based on the fixtures used for each test. Essentially, when *pytest* is run, based on the fixture below, *pytest-libiio* will spawn the correct context with *iio-emu* and pass the URI of that context to the test. + + +.. code-block:: python + + import pytest + import iio + + + @pytest.mark.iio_hardware("pluto", False) # Set True disables test during emulation + def test_libiio_device(iio_uri): + ctx = iio.Context(iio_uri) + ... + +To create and add more context files for testing with **pyadi-iio** follow `this page `_. diff --git a/main/_sources/dev/test_attr.rst.txt b/main/_sources/dev/test_attr.rst.txt new file mode 100644 index 000000000..2072c5c75 --- /dev/null +++ b/main/_sources/dev/test_attr.rst.txt @@ -0,0 +1,7 @@ +Attribute Tests +================= + +Functions used by test fixtures for evaluating attributes and driver state. + +.. automodule:: test.attr_tests + :members: diff --git a/main/_sources/dev/test_dma.rst.txt b/main/_sources/dev/test_dma.rst.txt new file mode 100644 index 000000000..c2105e720 --- /dev/null +++ b/main/_sources/dev/test_dma.rst.txt @@ -0,0 +1,7 @@ +DMA Tests +================= + +Functions used by test fixtures for evaluating receive and transmit DMA/buffers + +.. automodule:: test.dma_tests + :members: diff --git a/main/_sources/dev/test_generics.rst.txt b/main/_sources/dev/test_generics.rst.txt new file mode 100644 index 000000000..ada754964 --- /dev/null +++ b/main/_sources/dev/test_generics.rst.txt @@ -0,0 +1,7 @@ +Generic Tests +================= + +Functions used by test fixtures for evaluating drivers without pyadi-iio classes or not by using pyadi-iio classes. + +.. automodule:: test.generics + :members: diff --git a/main/_sources/devices/adi.QuadMxFE_multi.rst.txt b/main/_sources/devices/adi.QuadMxFE_multi.rst.txt new file mode 100644 index 000000000..b0f9f8e8a --- /dev/null +++ b/main/_sources/devices/adi.QuadMxFE_multi.rst.txt @@ -0,0 +1,7 @@ +QuadMxFE\_multi +========================== + +.. automodule:: adi.QuadMxFE_multi + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad2s1210.rst.txt b/main/_sources/devices/adi.ad2s1210.rst.txt new file mode 100644 index 000000000..40eb69717 --- /dev/null +++ b/main/_sources/devices/adi.ad2s1210.rst.txt @@ -0,0 +1,7 @@ +ad2s1210 +================= + +.. automodule:: adi.ad2s1210 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad3552r.rst.txt b/main/_sources/devices/adi.ad3552r.rst.txt new file mode 100644 index 000000000..35e0a9d77 --- /dev/null +++ b/main/_sources/devices/adi.ad3552r.rst.txt @@ -0,0 +1,30 @@ +ad3552r +================= + +The device class in this module supports multiple parts, as follows: + +**ad3552r:** ad3542r, ad3552r + +By default, the device_name parameter in the class constructor is the +same as the class name (e.g. "ad3552r" for the ad3552r). To use the class +with another supported model, the name must be given when instantiating +the object. For example, if working with an ad3552r with a URI of +"10.2.5.222", use the ad3552r class, but specify the device_name. + +The number of individual channels is based on the device variant. + +.. automodule:: adi.ad3552r + :members: + :undoc-members: + :show-inheritance: + + +.. code-block:: python + + dev = ad3552r("", "ad3552r") + + dev.channel[0].raw = 10 + dev.channel[1].raw = 30 + + data = dev.channel[0].raw + print(data) diff --git a/main/_sources/devices/adi.ad4020.rst.txt b/main/_sources/devices/adi.ad4020.rst.txt new file mode 100644 index 000000000..c189d6feb --- /dev/null +++ b/main/_sources/devices/adi.ad4020.rst.txt @@ -0,0 +1,33 @@ +ad4020 +================= + +Each device class in this module supports multiple parts, as follows: + +**ad4020:** ad4020, ad4021, ad4022 + +**ad4000:** ad4000, ad4004, ad4008 + +**ad4001:** ad4001, ad4005 + +**ad4002:** ad4002, ad4006, ad4010 + +**ad4003:** ad4003, ad4007, ad4011 + +By default, the device_name parameter in the class constructor is the +same as the class name (e.g. "ad4001" for the ad4001). To use the class +with another supported model, the name must be given when instantiating +the object. For example, if working with an ad4007 with a URI of +"10.2.5.222", use the ad4003 class, but specify the device_name +parameter explicitly: + +.. code-block:: bash + + import adi + adc = adi.ad4003(uri="ip:10.2.5.222", device_name="ad4007") + ... + + +.. automodule:: adi.ad4020 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad405x.rst.txt b/main/_sources/devices/adi.ad405x.rst.txt new file mode 100644 index 000000000..ffc0d4dbf --- /dev/null +++ b/main/_sources/devices/adi.ad405x.rst.txt @@ -0,0 +1,7 @@ +ad405x +================ + +.. automodule:: adi.ad405x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad4110.rst.txt b/main/_sources/devices/adi.ad4110.rst.txt new file mode 100644 index 000000000..92dc50650 --- /dev/null +++ b/main/_sources/devices/adi.ad4110.rst.txt @@ -0,0 +1,7 @@ +ad4110 +================= + +.. automodule:: adi.ad4110 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad4130.rst.txt b/main/_sources/devices/adi.ad4130.rst.txt new file mode 100644 index 000000000..8c81ff98b --- /dev/null +++ b/main/_sources/devices/adi.ad4130.rst.txt @@ -0,0 +1,7 @@ +ad4130 +================= + +.. automodule:: adi.ad4130 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad4170.rst.txt b/main/_sources/devices/adi.ad4170.rst.txt new file mode 100644 index 000000000..b5b3ed3fd --- /dev/null +++ b/main/_sources/devices/adi.ad4170.rst.txt @@ -0,0 +1,7 @@ +ad4170 +================= + +.. automodule:: adi.ad4170 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad4630.rst.txt b/main/_sources/devices/adi.ad4630.rst.txt new file mode 100644 index 000000000..aee233dde --- /dev/null +++ b/main/_sources/devices/adi.ad4630.rst.txt @@ -0,0 +1,7 @@ +ad4630 +================= + +.. automodule:: adi.ad4630 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad469x.rst.txt b/main/_sources/devices/adi.ad469x.rst.txt new file mode 100644 index 000000000..52dd7c9e4 --- /dev/null +++ b/main/_sources/devices/adi.ad469x.rst.txt @@ -0,0 +1,7 @@ +ad469x +================= + +.. automodule:: adi.ad469x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad4858.rst.txt b/main/_sources/devices/adi.ad4858.rst.txt new file mode 100644 index 000000000..fea1406c3 --- /dev/null +++ b/main/_sources/devices/adi.ad4858.rst.txt @@ -0,0 +1,7 @@ +ad4858 +================= + +.. automodule:: adi.ad4858 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad5592r.rst.txt b/main/_sources/devices/adi.ad5592r.rst.txt new file mode 100644 index 000000000..3a6476b2d --- /dev/null +++ b/main/_sources/devices/adi.ad5592r.rst.txt @@ -0,0 +1,20 @@ +ad5592r +================= + +.. automodule:: adi.ad5592r + :members: + :undoc-members: + :show-inheritance: + + +The number of individual channels is based on the hardware configuration of the device. The are individually accessed as properties like so: + +.. code-block:: python + + dev = adi.ad5592r(uri="ip:analog") + dev.dac_0.raw = 10 + dev.dac_1.raw = 30 + data = dev.adc_0.raw + print(data) + temp_c = (dev.temp_0.raw + dev.temp_0.offset) * dev.temp_0.scale + print(temp_c) diff --git a/main/_sources/devices/adi.ad5627.rst.txt b/main/_sources/devices/adi.ad5627.rst.txt new file mode 100644 index 000000000..7382e070a --- /dev/null +++ b/main/_sources/devices/adi.ad5627.rst.txt @@ -0,0 +1,7 @@ +ad5627 +================= + +.. automodule:: adi.ad5627 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad5686.rst.txt b/main/_sources/devices/adi.ad5686.rst.txt new file mode 100644 index 000000000..a7125c6f4 --- /dev/null +++ b/main/_sources/devices/adi.ad5686.rst.txt @@ -0,0 +1,7 @@ +ad5686 +================= + +.. automodule:: adi.ad5686 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad5754r.rst.txt b/main/_sources/devices/adi.ad5754r.rst.txt new file mode 100644 index 000000000..d5325e9fa --- /dev/null +++ b/main/_sources/devices/adi.ad5754r.rst.txt @@ -0,0 +1,7 @@ +ad5754r +================= + +.. automodule:: adi.ad5754r + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad579x.rst.txt b/main/_sources/devices/adi.ad579x.rst.txt new file mode 100644 index 000000000..52ed4056d --- /dev/null +++ b/main/_sources/devices/adi.ad579x.rst.txt @@ -0,0 +1,7 @@ +ad579x +================= + +.. automodule:: adi.ad579x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad5940.rst.txt b/main/_sources/devices/adi.ad5940.rst.txt new file mode 100644 index 000000000..5a75bc25c --- /dev/null +++ b/main/_sources/devices/adi.ad5940.rst.txt @@ -0,0 +1,7 @@ +adi.ad5940 module +================= + +.. automodule:: adi.ad5940 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad6676.rst.txt b/main/_sources/devices/adi.ad6676.rst.txt new file mode 100644 index 000000000..03035e1c6 --- /dev/null +++ b/main/_sources/devices/adi.ad6676.rst.txt @@ -0,0 +1,7 @@ +adi.ad6676 module +================= + +.. automodule:: adi.ad6676 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7124.rst.txt b/main/_sources/devices/adi.ad7124.rst.txt new file mode 100644 index 000000000..ba73234b2 --- /dev/null +++ b/main/_sources/devices/adi.ad7124.rst.txt @@ -0,0 +1,7 @@ +ad7124 +================= + +.. automodule:: adi.ad7124 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7134.rst.txt b/main/_sources/devices/adi.ad7134.rst.txt new file mode 100644 index 000000000..a7292a529 --- /dev/null +++ b/main/_sources/devices/adi.ad7134.rst.txt @@ -0,0 +1,7 @@ +ad7134 +================= + +.. automodule:: adi.ad7134 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad717x.rst.txt b/main/_sources/devices/adi.ad717x.rst.txt new file mode 100644 index 000000000..13c240ac7 --- /dev/null +++ b/main/_sources/devices/adi.ad717x.rst.txt @@ -0,0 +1,7 @@ +ad717x +================= + +.. automodule:: adi.ad717x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad719x.rst.txt b/main/_sources/devices/adi.ad719x.rst.txt new file mode 100644 index 000000000..18b8f5eb9 --- /dev/null +++ b/main/_sources/devices/adi.ad719x.rst.txt @@ -0,0 +1,7 @@ +ad719x +================= + +.. automodule:: adi.ad719x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7291.rst.txt b/main/_sources/devices/adi.ad7291.rst.txt new file mode 100644 index 000000000..836d042ea --- /dev/null +++ b/main/_sources/devices/adi.ad7291.rst.txt @@ -0,0 +1,7 @@ +ad7291 +================= + +.. automodule:: adi.ad7291 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad738x.rst.txt b/main/_sources/devices/adi.ad738x.rst.txt new file mode 100644 index 000000000..4bc2e9baf --- /dev/null +++ b/main/_sources/devices/adi.ad738x.rst.txt @@ -0,0 +1,7 @@ +ad738x +================= + +.. automodule:: adi.ad738x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7606.rst.txt b/main/_sources/devices/adi.ad7606.rst.txt new file mode 100644 index 000000000..c41c55779 --- /dev/null +++ b/main/_sources/devices/adi.ad7606.rst.txt @@ -0,0 +1,7 @@ +ad7606 +================= + +.. automodule:: adi.ad7606 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7689.rst.txt b/main/_sources/devices/adi.ad7689.rst.txt new file mode 100644 index 000000000..1aa7ada22 --- /dev/null +++ b/main/_sources/devices/adi.ad7689.rst.txt @@ -0,0 +1,7 @@ +ad7689 +================= + +.. automodule:: adi.ad7689 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7746.rst.txt b/main/_sources/devices/adi.ad7746.rst.txt new file mode 100644 index 000000000..358f7cd91 --- /dev/null +++ b/main/_sources/devices/adi.ad7746.rst.txt @@ -0,0 +1,7 @@ +ad7746 +================= + +.. automodule:: adi.ad7746 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7768.rst.txt b/main/_sources/devices/adi.ad7768.rst.txt new file mode 100644 index 000000000..d93aee260 --- /dev/null +++ b/main/_sources/devices/adi.ad7768.rst.txt @@ -0,0 +1,7 @@ +ad7768 +================= + +.. automodule:: adi.ad7768 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad777x.rst.txt b/main/_sources/devices/adi.ad777x.rst.txt new file mode 100644 index 000000000..3449d0fd8 --- /dev/null +++ b/main/_sources/devices/adi.ad777x.rst.txt @@ -0,0 +1,7 @@ +ad777x +================= + +.. automodule:: adi.ad777x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad7799.rst.txt b/main/_sources/devices/adi.ad7799.rst.txt new file mode 100644 index 000000000..13d0de46f --- /dev/null +++ b/main/_sources/devices/adi.ad7799.rst.txt @@ -0,0 +1,7 @@ +ad7799 +================= + +.. automodule:: adi.ad7799 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9081.rst.txt b/main/_sources/devices/adi.ad9081.rst.txt new file mode 100644 index 000000000..997a03d77 --- /dev/null +++ b/main/_sources/devices/adi.ad9081.rst.txt @@ -0,0 +1,7 @@ +ad9081 +================= + +.. automodule:: adi.ad9081 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9081_mc.rst.txt b/main/_sources/devices/adi.ad9081_mc.rst.txt new file mode 100644 index 000000000..6cd311be0 --- /dev/null +++ b/main/_sources/devices/adi.ad9081_mc.rst.txt @@ -0,0 +1,53 @@ +ad9081\_mc +===================== + +The multi-chip python interface for AD9081 is scalable to any number of AD9081s within a single libIIO context. It will automatically determine the correct main driver, manage the CDDC/FDDC/CDUC/FDUC arrangement uniquely for each chip, and DMA/DDS IP. However, the interface a bit unique with **pyadi-iio** since it is almost identical to the single AD9081 class but it exposes properties in a slightly different way. + +When using **adi.ad9081**, properties are generally simple types like strings, ints, floats, or lists of these types. For example, when reading back the **rx_channel_nco_frequencies** you would observe something like: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9081() + >>> dev.rx_channel_nco_frequencies + [0, 0, 0, 0] + + +For the case of a multi-chip configuration a dict is returned with an entry for each MxFE chip: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9081_mc() + >>> dev.rx_channel_nco_frequencies + {'axi-ad9081-rx-0': [0, 0, 0, 0], + 'axi-ad9081-rx-1': [0, 0, 0, 0], + 'axi-ad9081-rx-2': [0, 0, 0, 0], + 'axi-ad9081-rx-3': [0, 0, 0, 0]} + + +The same dict can be passed back to the property when writing, which will contain all or a subset of the chips to be address if desired. Alternatively, a list can be passed with only the values themselves if a dict does not want to be used. This is useful when performing array based DSP were data is approach in aggregate. However, in this case entries must be provided for all chip, not just a subset. Otherwise an error is returned. + +When passing a list only, the chips are address based on the attribute **_default_ctrl_names**. Below is an example of this API: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9081_mc() + >>> dev.rx_channel_nco_frequencies + {'axi-ad9081-rx-0': [0, 0, 0, 0], + 'axi-ad9081-rx-1': [0, 0, 0, 0], + 'axi-ad9081-rx-2': [0, 0, 0, 0], + 'axi-ad9081-rx-3': [0, 0, 0, 0]} + >>> dev.rx_channel_nco_frequencies = [0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15] + >>> dev.rx_channel_nco_frequencies + {'axi-ad9081-rx-0': [0, 1, 2, 3], + 'axi-ad9081-rx-1': [4, 5, 6, 7], + 'axi-ad9081-rx-2': [8, 9, 10, 11], + 'axi-ad9081-rx-3': [12, 13, 14, 15]} + + +.. automodule:: adi.ad9081_mc + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9083.rst.txt b/main/_sources/devices/adi.ad9083.rst.txt new file mode 100644 index 000000000..946b4b40c --- /dev/null +++ b/main/_sources/devices/adi.ad9083.rst.txt @@ -0,0 +1,7 @@ +ad9083 +================= + +.. automodule:: adi.ad9083 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9084.rst.txt b/main/_sources/devices/adi.ad9084.rst.txt new file mode 100644 index 000000000..7025ab869 --- /dev/null +++ b/main/_sources/devices/adi.ad9084.rst.txt @@ -0,0 +1,7 @@ +ad9081 +================= + +.. automodule:: adi.ad9084 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9084_mc.rst.txt b/main/_sources/devices/adi.ad9084_mc.rst.txt new file mode 100644 index 000000000..92a25371c --- /dev/null +++ b/main/_sources/devices/adi.ad9084_mc.rst.txt @@ -0,0 +1,52 @@ +ad9084\_mc +===================== + +The multi-chip python interface for ad9084 is scalable to any number of ad9084s within a single libIIO context. It will automatically determine the correct main driver, manage the CDDC/FDDC/CDUC/FDUC arrangement uniquely for each chip, and DMA/DDS IP. However, the interface a bit unique with **pyadi-iio** since it is almost identical to the single ad9084 class but it exposes properties in a slightly different way. + +When using **adi.ad9084**, properties are generally simple types like strings, ints, floats, or lists of these types. For example, when reading back the **rx_channel_nco_frequencies** you would observe something like: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9084() + >>> dev.rx_channel_nco_frequencies + [0, 0, 0, 0] + + +For the case of a multi-chip configuration a dict is returned with an entry for each MxFE chip: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9084_mc() + >>> dev.rx_channel_nco_frequencies + {'axi-ad9084-rx1': [0, 0, 0, 0], + 'axi-ad9084-rx2': [0, 0, 0, 0], + 'axi-ad9084-rx3': [0, 0, 0, 0], + 'axi-ad9084-rx-hpc': [0, 0, 0, 0]} + +The same dict can be passed back to the property when writing, which will contain all or a subset of the chips to be address if desired. Alternatively, a list can be passed with only the values themselves if a dict does not want to be used. This is useful when performing array based DSP were data is approach in aggregate. However, in this case entries must be provided for all chip, not just a subset. Otherwise an error is returned. + +When passing a list only, the chips are address based on the attribute **_default_ctrl_names**. Below is an example of this API: + +.. code-block:: bash + + >>> import adi + >>> dev = adi.ad9084_mc() + >>> dev.rx_channel_nco_frequencies + {'axi-ad9084-rx1': [0, 0, 0, 0], + 'axi-ad9084-rx2': [0, 0, 0, 0], + 'axi-ad9084-rx3': [0, 0, 0, 0], + 'axi-ad9084-rx-hpc': [0, 0, 0, 0]} + >>> dev.rx_channel_nco_frequencies = [0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15] + >>> dev.rx_channel_nco_frequencies + {'axi-ad9084-rx1': [0, 1, 2, 3], + 'axi-ad9084-rx2': [4, 5, 6, 7], + 'axi-ad9084-rx3': [8, 9, 10, 11], + 'axi-ad9084-rx-hpc': [12, 13, 14, 15]} + + +.. automodule:: adi.ad9084_mc + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9094.rst.txt b/main/_sources/devices/adi.ad9094.rst.txt new file mode 100644 index 000000000..1849449ad --- /dev/null +++ b/main/_sources/devices/adi.ad9094.rst.txt @@ -0,0 +1,7 @@ +ad9094 +================= + +.. automodule:: adi.ad9094 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9136.rst.txt b/main/_sources/devices/adi.ad9136.rst.txt new file mode 100644 index 000000000..709497177 --- /dev/null +++ b/main/_sources/devices/adi.ad9136.rst.txt @@ -0,0 +1,7 @@ +ad9136 +================= + +.. automodule:: adi.ad9136 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9144.rst.txt b/main/_sources/devices/adi.ad9144.rst.txt new file mode 100644 index 000000000..8756633bc --- /dev/null +++ b/main/_sources/devices/adi.ad9144.rst.txt @@ -0,0 +1,7 @@ +ad9144 +================= + +.. automodule:: adi.ad9144 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9152.rst.txt b/main/_sources/devices/adi.ad9152.rst.txt new file mode 100644 index 000000000..20ff62d3b --- /dev/null +++ b/main/_sources/devices/adi.ad9152.rst.txt @@ -0,0 +1,7 @@ +ad9152 +================= + +.. automodule:: adi.ad9152 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9162.rst.txt b/main/_sources/devices/adi.ad9162.rst.txt new file mode 100644 index 000000000..0815201af --- /dev/null +++ b/main/_sources/devices/adi.ad9162.rst.txt @@ -0,0 +1,7 @@ +adi.ad9162 module +================= + +.. automodule:: adi.ad9162 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9166.rst.txt b/main/_sources/devices/adi.ad9166.rst.txt new file mode 100644 index 000000000..aafa47fce --- /dev/null +++ b/main/_sources/devices/adi.ad9166.rst.txt @@ -0,0 +1,7 @@ +adi.ad9166 module +================= + +.. automodule:: adi.ad9166 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9172.rst.txt b/main/_sources/devices/adi.ad9172.rst.txt new file mode 100644 index 000000000..5645fcaea --- /dev/null +++ b/main/_sources/devices/adi.ad9172.rst.txt @@ -0,0 +1,7 @@ +adi.ad9172 module +================= + +.. automodule:: adi.ad9172 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9213.rst.txt b/main/_sources/devices/adi.ad9213.rst.txt new file mode 100644 index 000000000..61e53abac --- /dev/null +++ b/main/_sources/devices/adi.ad9213.rst.txt @@ -0,0 +1,7 @@ +ad9213 +================= + +.. automodule:: adi.ad9213 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9250.rst.txt b/main/_sources/devices/adi.ad9250.rst.txt new file mode 100644 index 000000000..dc00de214 --- /dev/null +++ b/main/_sources/devices/adi.ad9250.rst.txt @@ -0,0 +1,7 @@ +adi.ad9250 module +================= + +.. automodule:: adi.ad9250 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9265.rst.txt b/main/_sources/devices/adi.ad9265.rst.txt new file mode 100644 index 000000000..50f5c3878 --- /dev/null +++ b/main/_sources/devices/adi.ad9265.rst.txt @@ -0,0 +1,7 @@ +adi.ad9265 module +================= + +.. automodule:: adi.ad9265 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad936x.rst.txt b/main/_sources/devices/adi.ad936x.rst.txt new file mode 100644 index 000000000..dc0c122a5 --- /dev/null +++ b/main/_sources/devices/adi.ad936x.rst.txt @@ -0,0 +1,7 @@ +ad936x +================= + +.. automodule:: adi.ad936x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad937x.rst.txt b/main/_sources/devices/adi.ad937x.rst.txt new file mode 100644 index 000000000..2ff836b53 --- /dev/null +++ b/main/_sources/devices/adi.ad937x.rst.txt @@ -0,0 +1,7 @@ +ad937x +================= + +.. automodule:: adi.ad937x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9434.rst.txt b/main/_sources/devices/adi.ad9434.rst.txt new file mode 100644 index 000000000..bed22e8aa --- /dev/null +++ b/main/_sources/devices/adi.ad9434.rst.txt @@ -0,0 +1,7 @@ +adi.ad9434 module +================= + +.. automodule:: adi.ad9434 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9467.rst.txt b/main/_sources/devices/adi.ad9467.rst.txt new file mode 100644 index 000000000..927067324 --- /dev/null +++ b/main/_sources/devices/adi.ad9467.rst.txt @@ -0,0 +1,7 @@ +adi.ad9467 module +================= + +.. automodule:: adi.ad9467 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9625.rst.txt b/main/_sources/devices/adi.ad9625.rst.txt new file mode 100644 index 000000000..5390922ad --- /dev/null +++ b/main/_sources/devices/adi.ad9625.rst.txt @@ -0,0 +1,7 @@ +adi.ad9625 module +================= + +.. automodule:: adi.ad9625 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9680.rst.txt b/main/_sources/devices/adi.ad9680.rst.txt new file mode 100644 index 000000000..18b697dbd --- /dev/null +++ b/main/_sources/devices/adi.ad9680.rst.txt @@ -0,0 +1,7 @@ +ad9680 +================= + +.. automodule:: adi.ad9680 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ad9739a.rst.txt b/main/_sources/devices/adi.ad9739a.rst.txt new file mode 100644 index 000000000..905ccbc71 --- /dev/null +++ b/main/_sources/devices/adi.ad9739a.rst.txt @@ -0,0 +1,7 @@ +adi.ad9739a module +================== + +.. automodule:: adi.ad9739a + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ada4961.rst.txt b/main/_sources/devices/adi.ada4961.rst.txt new file mode 100644 index 000000000..a85a9a68f --- /dev/null +++ b/main/_sources/devices/adi.ada4961.rst.txt @@ -0,0 +1,7 @@ +adi.ada4961 module +================== + +.. automodule:: adi.ada4961 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adaq8092.rst.txt b/main/_sources/devices/adi.adaq8092.rst.txt new file mode 100644 index 000000000..90e351b8a --- /dev/null +++ b/main/_sources/devices/adi.adaq8092.rst.txt @@ -0,0 +1,7 @@ +adaq8092 +================= + +.. automodule:: adi.adaq8092 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adar1000.rst.txt b/main/_sources/devices/adi.adar1000.rst.txt new file mode 100644 index 000000000..1512bf628 --- /dev/null +++ b/main/_sources/devices/adi.adar1000.rst.txt @@ -0,0 +1,7 @@ +adar1000 +=================== + +.. automodule:: adi.adar1000 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adf4159.rst.txt b/main/_sources/devices/adi.adf4159.rst.txt new file mode 100644 index 000000000..5868a1355 --- /dev/null +++ b/main/_sources/devices/adi.adf4159.rst.txt @@ -0,0 +1,7 @@ +adf4159 +================== + +.. automodule:: adi.adf4159 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adf4355.rst.txt b/main/_sources/devices/adi.adf4355.rst.txt new file mode 100644 index 000000000..54e18764c --- /dev/null +++ b/main/_sources/devices/adi.adf4355.rst.txt @@ -0,0 +1,7 @@ +adi.adf4355 module +================== + +.. automodule:: adi.adf4355 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adf4371.rst.txt b/main/_sources/devices/adi.adf4371.rst.txt new file mode 100644 index 000000000..86a87d0c7 --- /dev/null +++ b/main/_sources/devices/adi.adf4371.rst.txt @@ -0,0 +1,7 @@ +adf4371 +================== + +.. automodule:: adi.adf4371 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adf5610.rst.txt b/main/_sources/devices/adi.adf5610.rst.txt new file mode 100644 index 000000000..d0b4192cd --- /dev/null +++ b/main/_sources/devices/adi.adf5610.rst.txt @@ -0,0 +1,7 @@ +adf5610 +================== + +.. automodule:: adi.adf5610 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adg2128.rst.txt b/main/_sources/devices/adi.adg2128.rst.txt new file mode 100644 index 000000000..dd2ea80ec --- /dev/null +++ b/main/_sources/devices/adi.adg2128.rst.txt @@ -0,0 +1,7 @@ +adi.adg2128 module +================== + +.. automodule:: adi.adg2128 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adis16375.rst.txt b/main/_sources/devices/adi.adis16375.rst.txt new file mode 100644 index 000000000..0018260f3 --- /dev/null +++ b/main/_sources/devices/adi.adis16375.rst.txt @@ -0,0 +1,9 @@ + +adis16375 +========= + +.. autoclass:: adi.adis16375 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16460.rst.txt b/main/_sources/devices/adi.adis16460.rst.txt new file mode 100644 index 000000000..b6e2d0e90 --- /dev/null +++ b/main/_sources/devices/adi.adis16460.rst.txt @@ -0,0 +1,8 @@ +adis16460 +==================== + +.. autoclass:: adi.adis16460 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16475.rst.txt b/main/_sources/devices/adi.adis16475.rst.txt new file mode 100644 index 000000000..43ae5f56f --- /dev/null +++ b/main/_sources/devices/adi.adis16475.rst.txt @@ -0,0 +1,8 @@ +adis16475 +==================== + +.. autoclass:: adi.adis16475 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16480.rst.txt b/main/_sources/devices/adi.adis16480.rst.txt new file mode 100644 index 000000000..f827ff0e8 --- /dev/null +++ b/main/_sources/devices/adi.adis16480.rst.txt @@ -0,0 +1,8 @@ +adis16480 +==================== + +.. autoclass:: adi.adis16480 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16485.rst.txt b/main/_sources/devices/adi.adis16485.rst.txt new file mode 100644 index 000000000..71dae6e70 --- /dev/null +++ b/main/_sources/devices/adi.adis16485.rst.txt @@ -0,0 +1,9 @@ + +adis16485 +========= + +.. autoclass:: adi.adis16485 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16488.rst.txt b/main/_sources/devices/adi.adis16488.rst.txt new file mode 100644 index 000000000..cd5c3b903 --- /dev/null +++ b/main/_sources/devices/adi.adis16488.rst.txt @@ -0,0 +1,9 @@ + +adis16488 +========= + +.. autoclass:: adi.adis16488 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16490.rst.txt b/main/_sources/devices/adi.adis16490.rst.txt new file mode 100644 index 000000000..c98f08252 --- /dev/null +++ b/main/_sources/devices/adi.adis16490.rst.txt @@ -0,0 +1,9 @@ + +adis16490 +========= + +.. autoclass:: adi.adis16490 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16495.rst.txt b/main/_sources/devices/adi.adis16495.rst.txt new file mode 100644 index 000000000..1b8eb3856 --- /dev/null +++ b/main/_sources/devices/adi.adis16495.rst.txt @@ -0,0 +1,9 @@ + +adis16495 +========= + +.. autoclass:: adi.adis16495 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16497.rst.txt b/main/_sources/devices/adi.adis16497.rst.txt new file mode 100644 index 000000000..fa04d876d --- /dev/null +++ b/main/_sources/devices/adi.adis16497.rst.txt @@ -0,0 +1,9 @@ + +adis16497 +========= + +.. autoclass:: adi.adis16497 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16507.rst.txt b/main/_sources/devices/adi.adis16507.rst.txt new file mode 100644 index 000000000..5932f113d --- /dev/null +++ b/main/_sources/devices/adi.adis16507.rst.txt @@ -0,0 +1,7 @@ +adis16507 +==================== + +.. automodule:: adi.adis16507 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adis16545.rst.txt b/main/_sources/devices/adi.adis16545.rst.txt new file mode 100644 index 000000000..c50d7c300 --- /dev/null +++ b/main/_sources/devices/adi.adis16545.rst.txt @@ -0,0 +1,9 @@ + +adis16545 +========= + +.. autoclass:: adi.adis16545 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adis16547.rst.txt b/main/_sources/devices/adi.adis16547.rst.txt new file mode 100644 index 000000000..82c17d4af --- /dev/null +++ b/main/_sources/devices/adi.adis16547.rst.txt @@ -0,0 +1,9 @@ + +adis16547 +========= + +.. autoclass:: adi.adis16547 + :members: + :undoc-members: + :show-inheritance: + :inherited-members: diff --git a/main/_sources/devices/adi.adl5240.rst.txt b/main/_sources/devices/adi.adl5240.rst.txt new file mode 100644 index 000000000..efdcdc516 --- /dev/null +++ b/main/_sources/devices/adi.adl5240.rst.txt @@ -0,0 +1,7 @@ +adi.adl5240 module +================== + +.. automodule:: adi.adl5240 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adl5960.rst.txt b/main/_sources/devices/adi.adl5960.rst.txt new file mode 100644 index 000000000..7e437cb68 --- /dev/null +++ b/main/_sources/devices/adi.adl5960.rst.txt @@ -0,0 +1,7 @@ +adl5960 +================== + +.. automodule:: adi.adl5960 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.admv8818.rst.txt b/main/_sources/devices/adi.admv8818.rst.txt new file mode 100644 index 000000000..3f13ab26f --- /dev/null +++ b/main/_sources/devices/adi.admv8818.rst.txt @@ -0,0 +1,7 @@ +admv8818 +=================== + +.. automodule:: adi.admv8818 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adpd1080.rst.txt b/main/_sources/devices/adi.adpd1080.rst.txt new file mode 100644 index 000000000..4e6823a0a --- /dev/null +++ b/main/_sources/devices/adi.adpd1080.rst.txt @@ -0,0 +1,7 @@ +adpd1080 +================== + +.. automodule:: adi.adpd1080 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adpd188.rst.txt b/main/_sources/devices/adi.adpd188.rst.txt new file mode 100644 index 000000000..971768735 --- /dev/null +++ b/main/_sources/devices/adi.adpd188.rst.txt @@ -0,0 +1,7 @@ +adpd188 +================== + +.. automodule:: adi.adpd188 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adpd410x.rst.txt b/main/_sources/devices/adi.adpd410x.rst.txt new file mode 100644 index 000000000..61c2e8ab8 --- /dev/null +++ b/main/_sources/devices/adi.adpd410x.rst.txt @@ -0,0 +1,7 @@ +adpd410x +=================== + +.. automodule:: adi.adpd410x + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrf5720.rst.txt b/main/_sources/devices/adi.adrf5720.rst.txt new file mode 100644 index 000000000..853eeac92 --- /dev/null +++ b/main/_sources/devices/adi.adrf5720.rst.txt @@ -0,0 +1,7 @@ +adrf5720 +=================== + +.. automodule:: adi.adrf5720 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrv9002.rst.txt b/main/_sources/devices/adi.adrv9002.rst.txt new file mode 100644 index 000000000..f7c018bdc --- /dev/null +++ b/main/_sources/devices/adi.adrv9002.rst.txt @@ -0,0 +1,7 @@ +adrv9002 +=================== + +.. automodule:: adi.adrv9002 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrv9009.rst.txt b/main/_sources/devices/adi.adrv9009.rst.txt new file mode 100644 index 000000000..7efad4a6c --- /dev/null +++ b/main/_sources/devices/adi.adrv9009.rst.txt @@ -0,0 +1,7 @@ +adrv9009 +=================== + +.. automodule:: adi.adrv9009 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrv9009_zu11eg.rst.txt b/main/_sources/devices/adi.adrv9009_zu11eg.rst.txt new file mode 100644 index 000000000..5ebe1a6f1 --- /dev/null +++ b/main/_sources/devices/adi.adrv9009_zu11eg.rst.txt @@ -0,0 +1,7 @@ +adrv9009\_zu11eg +=========================== + +.. automodule:: adi.adrv9009_zu11eg + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrv9009_zu11eg_fmcomms8.rst.txt b/main/_sources/devices/adi.adrv9009_zu11eg_fmcomms8.rst.txt new file mode 100644 index 000000000..cbea0a46b --- /dev/null +++ b/main/_sources/devices/adi.adrv9009_zu11eg_fmcomms8.rst.txt @@ -0,0 +1,7 @@ +adrv9009\_zu11eg\_fmcomms8 +===================================== + +.. automodule:: adi.adrv9009_zu11eg_fmcomms8 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adrv9009_zu11eg_multi.rst.txt b/main/_sources/devices/adi.adrv9009_zu11eg_multi.rst.txt new file mode 100644 index 000000000..0fd6c6da9 --- /dev/null +++ b/main/_sources/devices/adi.adrv9009_zu11eg_multi.rst.txt @@ -0,0 +1,7 @@ +adrv9009\_zu11eg\_multi +================================== + +.. automodule:: adi.adrv9009_zu11eg_multi + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adt7420.rst.txt b/main/_sources/devices/adi.adt7420.rst.txt new file mode 100644 index 000000000..5ae3a35aa --- /dev/null +++ b/main/_sources/devices/adi.adt7420.rst.txt @@ -0,0 +1,7 @@ +adi.adt7420 module +================== + +.. automodule:: adi.adt7420 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adxl313.rst.txt b/main/_sources/devices/adi.adxl313.rst.txt new file mode 100644 index 000000000..3682869d0 --- /dev/null +++ b/main/_sources/devices/adi.adxl313.rst.txt @@ -0,0 +1,7 @@ +adxl313 +================== + +.. automodule:: adi.adxl313 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adxl345.rst.txt b/main/_sources/devices/adi.adxl345.rst.txt new file mode 100644 index 000000000..1782e32a1 --- /dev/null +++ b/main/_sources/devices/adi.adxl345.rst.txt @@ -0,0 +1,7 @@ +adxl345 +================== + +.. automodule:: adi.adxl345 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adxl355.rst.txt b/main/_sources/devices/adi.adxl355.rst.txt new file mode 100644 index 000000000..dd6a33408 --- /dev/null +++ b/main/_sources/devices/adi.adxl355.rst.txt @@ -0,0 +1,7 @@ +adxl355 +================== + +.. automodule:: adi.adxl355 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.adxrs290.rst.txt b/main/_sources/devices/adi.adxrs290.rst.txt new file mode 100644 index 000000000..6093b1efe --- /dev/null +++ b/main/_sources/devices/adi.adxrs290.rst.txt @@ -0,0 +1,7 @@ +adxrs290 +=================== + +.. automodule:: adi.adxrs290 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0511.rst.txt b/main/_sources/devices/adi.cn0511.rst.txt new file mode 100644 index 000000000..969920d7c --- /dev/null +++ b/main/_sources/devices/adi.cn0511.rst.txt @@ -0,0 +1,7 @@ +adi.cn0511 module +================= + +.. automodule:: adi.cn0511 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0532.rst.txt b/main/_sources/devices/adi.cn0532.rst.txt new file mode 100644 index 000000000..40a3a8186 --- /dev/null +++ b/main/_sources/devices/adi.cn0532.rst.txt @@ -0,0 +1,7 @@ +cn0532 +================= + +.. automodule:: adi.cn0532 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0540.rst.txt b/main/_sources/devices/adi.cn0540.rst.txt new file mode 100644 index 000000000..bbc6a131f --- /dev/null +++ b/main/_sources/devices/adi.cn0540.rst.txt @@ -0,0 +1,7 @@ +cn0540 +================= + +.. automodule:: adi.cn0540 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0554.rst.txt b/main/_sources/devices/adi.cn0554.rst.txt new file mode 100644 index 000000000..0469c9868 --- /dev/null +++ b/main/_sources/devices/adi.cn0554.rst.txt @@ -0,0 +1,7 @@ +cn0554 +================= + +.. automodule:: adi.cn0554 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0556.rst.txt b/main/_sources/devices/adi.cn0556.rst.txt new file mode 100644 index 000000000..5cc79d989 --- /dev/null +++ b/main/_sources/devices/adi.cn0556.rst.txt @@ -0,0 +1,7 @@ +cn0556 +================= + +.. automodule:: adi.cn0556 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0565.rst.txt b/main/_sources/devices/adi.cn0565.rst.txt new file mode 100644 index 000000000..fc29942f7 --- /dev/null +++ b/main/_sources/devices/adi.cn0565.rst.txt @@ -0,0 +1,7 @@ +cn0565 +================= + +.. automodule:: adi.cn0565 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0566.rst.txt b/main/_sources/devices/adi.cn0566.rst.txt new file mode 100644 index 000000000..63dd6b521 --- /dev/null +++ b/main/_sources/devices/adi.cn0566.rst.txt @@ -0,0 +1,7 @@ +adi.cn0566 module +================= + +.. automodule:: adi.cn0566 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0575.rst.txt b/main/_sources/devices/adi.cn0575.rst.txt new file mode 100644 index 000000000..043987557 --- /dev/null +++ b/main/_sources/devices/adi.cn0575.rst.txt @@ -0,0 +1,7 @@ +cn0575 +================= + +.. automodule:: adi.cn0575 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.cn0579.rst.txt b/main/_sources/devices/adi.cn0579.rst.txt new file mode 100644 index 000000000..bb5a88196 --- /dev/null +++ b/main/_sources/devices/adi.cn0579.rst.txt @@ -0,0 +1,7 @@ +cn0579 +================= + +.. automodule:: adi.cn0579 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.daq2.rst.txt b/main/_sources/devices/adi.daq2.rst.txt new file mode 100644 index 000000000..d840f4c12 --- /dev/null +++ b/main/_sources/devices/adi.daq2.rst.txt @@ -0,0 +1,7 @@ +daq2 +=============== + +.. automodule:: adi.daq2 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.daq3.rst.txt b/main/_sources/devices/adi.daq3.rst.txt new file mode 100644 index 000000000..c69e8be41 --- /dev/null +++ b/main/_sources/devices/adi.daq3.rst.txt @@ -0,0 +1,7 @@ +daq3 +=============== + +.. automodule:: adi.daq3 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmc_vna.rst.txt b/main/_sources/devices/adi.fmc_vna.rst.txt new file mode 100644 index 000000000..436c41544 --- /dev/null +++ b/main/_sources/devices/adi.fmc_vna.rst.txt @@ -0,0 +1,7 @@ +fmc\_vna +=================== + +.. automodule:: adi.fmc_vna + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmcadc3.rst.txt b/main/_sources/devices/adi.fmcadc3.rst.txt new file mode 100644 index 000000000..8594f24c9 --- /dev/null +++ b/main/_sources/devices/adi.fmcadc3.rst.txt @@ -0,0 +1,7 @@ +adi.fmcadc3 module +================== + +.. automodule:: adi.fmcadc3 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmcjesdadc1.rst.txt b/main/_sources/devices/adi.fmcjesdadc1.rst.txt new file mode 100644 index 000000000..6e42c65ff --- /dev/null +++ b/main/_sources/devices/adi.fmcjesdadc1.rst.txt @@ -0,0 +1,7 @@ +adi.fmcjesdadc1 module +====================== + +.. automodule:: adi.fmcjesdadc1 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmclidar1.rst.txt b/main/_sources/devices/adi.fmclidar1.rst.txt new file mode 100644 index 000000000..7883120bb --- /dev/null +++ b/main/_sources/devices/adi.fmclidar1.rst.txt @@ -0,0 +1,7 @@ +fmclidar1 +==================== + +.. automodule:: adi.fmclidar1 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmcomms11.rst.txt b/main/_sources/devices/adi.fmcomms11.rst.txt new file mode 100644 index 000000000..2d984006c --- /dev/null +++ b/main/_sources/devices/adi.fmcomms11.rst.txt @@ -0,0 +1,7 @@ +adi.fmcomms11 module +==================== + +.. automodule:: adi.fmcomms11 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.fmcomms5.rst.txt b/main/_sources/devices/adi.fmcomms5.rst.txt new file mode 100644 index 000000000..67d90d5c9 --- /dev/null +++ b/main/_sources/devices/adi.fmcomms5.rst.txt @@ -0,0 +1,7 @@ +fmcomms5 +=================== + +.. automodule:: adi.fmcomms5 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.gen_mux.rst.txt b/main/_sources/devices/adi.gen_mux.rst.txt new file mode 100644 index 000000000..d9b2d3b0a --- /dev/null +++ b/main/_sources/devices/adi.gen_mux.rst.txt @@ -0,0 +1,7 @@ +gen\_mux +=================== + +.. automodule:: adi.gen_mux + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.jesd.rst.txt b/main/_sources/devices/adi.jesd.rst.txt new file mode 100644 index 000000000..9436c6311 --- /dev/null +++ b/main/_sources/devices/adi.jesd.rst.txt @@ -0,0 +1,7 @@ +jesd +=============== + +.. automodule:: adi.jesd + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.lm75.rst.txt b/main/_sources/devices/adi.lm75.rst.txt new file mode 100644 index 000000000..5ee257841 --- /dev/null +++ b/main/_sources/devices/adi.lm75.rst.txt @@ -0,0 +1,7 @@ +lm75 +================== + +.. automodule:: adi.lm75 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2314_14.rst.txt b/main/_sources/devices/adi.ltc2314_14.rst.txt new file mode 100644 index 000000000..f23479435 --- /dev/null +++ b/main/_sources/devices/adi.ltc2314_14.rst.txt @@ -0,0 +1,7 @@ +ltc2314\_14 +====================== + +.. automodule:: adi.ltc2314_14 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2387.rst.txt b/main/_sources/devices/adi.ltc2387.rst.txt new file mode 100644 index 000000000..3d42313f2 --- /dev/null +++ b/main/_sources/devices/adi.ltc2387.rst.txt @@ -0,0 +1,7 @@ +ltc2387 +================= + +.. automodule:: adi.ltc2387 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2499.rst.txt b/main/_sources/devices/adi.ltc2499.rst.txt new file mode 100644 index 000000000..65d5d0a59 --- /dev/null +++ b/main/_sources/devices/adi.ltc2499.rst.txt @@ -0,0 +1,7 @@ +adi.ltc2499 module +================== + +.. automodule:: adi.ltc2499 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2664.rst.txt b/main/_sources/devices/adi.ltc2664.rst.txt new file mode 100644 index 000000000..c3fca06ba --- /dev/null +++ b/main/_sources/devices/adi.ltc2664.rst.txt @@ -0,0 +1,7 @@ +ltc2664 +================== + +.. automodule:: adi.ltc2664 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2672.rst.txt b/main/_sources/devices/adi.ltc2672.rst.txt new file mode 100644 index 000000000..85fadaf06 --- /dev/null +++ b/main/_sources/devices/adi.ltc2672.rst.txt @@ -0,0 +1,7 @@ +ltc2672 +================== + +.. automodule:: adi.ltc2672 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2688.rst.txt b/main/_sources/devices/adi.ltc2688.rst.txt new file mode 100644 index 000000000..4c53daba9 --- /dev/null +++ b/main/_sources/devices/adi.ltc2688.rst.txt @@ -0,0 +1,7 @@ +ltc2688 +================== + +.. automodule:: adi.ltc2688 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.ltc2983.rst.txt b/main/_sources/devices/adi.ltc2983.rst.txt new file mode 100644 index 000000000..c8c237340 --- /dev/null +++ b/main/_sources/devices/adi.ltc2983.rst.txt @@ -0,0 +1,7 @@ +ltc2983 +================== + +.. automodule:: adi.ltc2983 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.max11205.rst.txt b/main/_sources/devices/adi.max11205.rst.txt new file mode 100644 index 000000000..a8037f71a --- /dev/null +++ b/main/_sources/devices/adi.max11205.rst.txt @@ -0,0 +1,7 @@ +adi.max11205 module +=================== + +.. automodule:: adi.max11205 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.max14001.rst.txt b/main/_sources/devices/adi.max14001.rst.txt new file mode 100644 index 000000000..be1d2fc94 --- /dev/null +++ b/main/_sources/devices/adi.max14001.rst.txt @@ -0,0 +1,7 @@ +adi.max14001 module +=================== + +.. automodule:: adi.max14001 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.max31855.rst.txt b/main/_sources/devices/adi.max31855.rst.txt new file mode 100644 index 000000000..b4586679d --- /dev/null +++ b/main/_sources/devices/adi.max31855.rst.txt @@ -0,0 +1,7 @@ +adi.max31855 module +=================== + +.. automodule:: adi.max31855 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.max31865.rst.txt b/main/_sources/devices/adi.max31865.rst.txt new file mode 100644 index 000000000..b245e4a05 --- /dev/null +++ b/main/_sources/devices/adi.max31865.rst.txt @@ -0,0 +1,7 @@ +adi.max31865 module +=================== + +.. automodule:: adi.max31865 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.max9611.rst.txt b/main/_sources/devices/adi.max9611.rst.txt new file mode 100644 index 000000000..19d05b14f --- /dev/null +++ b/main/_sources/devices/adi.max9611.rst.txt @@ -0,0 +1,7 @@ +adi.max9611 module +================== + +.. automodule:: adi.max9611 + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.one_bit_adc_dac.rst.txt b/main/_sources/devices/adi.one_bit_adc_dac.rst.txt new file mode 100644 index 000000000..e39ffbf3b --- /dev/null +++ b/main/_sources/devices/adi.one_bit_adc_dac.rst.txt @@ -0,0 +1,7 @@ +one\_bit\_adc\_dac +============================= + +.. automodule:: adi.one_bit_adc_dac + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.tdd.rst.txt b/main/_sources/devices/adi.tdd.rst.txt new file mode 100644 index 000000000..0eb3dca26 --- /dev/null +++ b/main/_sources/devices/adi.tdd.rst.txt @@ -0,0 +1,7 @@ +tdd +================= + +.. automodule:: adi.tdd + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/adi.tddn.rst.txt b/main/_sources/devices/adi.tddn.rst.txt new file mode 100644 index 000000000..3ad5e6746 --- /dev/null +++ b/main/_sources/devices/adi.tddn.rst.txt @@ -0,0 +1,7 @@ +tddn +================= + +.. automodule:: adi.tddn + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/devices/index.rst.txt b/main/_sources/devices/index.rst.txt new file mode 100644 index 000000000..52df1b849 --- /dev/null +++ b/main/_sources/devices/index.rst.txt @@ -0,0 +1,141 @@ +Supported Devices +================= + + + + +.. toctree:: + :maxdepth: 2 + + adi.QuadMxFE_multi + adi.ad2s1210 + adi.ad3552r + adi.ad4020 + adi.ad405x + adi.ad4110 + adi.ad4130 + adi.ad4170 + adi.ad4630 + adi.ad469x + adi.ad5592r + adi.ad5627 + adi.ad5686 + adi.ad579x + adi.ad5754r + adi.ad5940 + adi.ad6676 + adi.ad7124 + adi.ad7134 + adi.ad717x + adi.ad719x + adi.ad7291 + adi.ad738x + adi.ad7606 + adi.ad7689 + adi.ad7746 + adi.ad7768 + adi.ad777x + adi.ad7799 + adi.ad9081 + adi.ad9081_mc + adi.ad9083 + adi.ad9084 + adi.ad9084_mc + adi.ad9094 + adi.ad9136 + adi.ad9144 + adi.ad9152 + adi.ad9162 + adi.ad9166 + adi.ad9172 + adi.ad9213 + adi.ad9250 + adi.ad9265 + adi.ad936x + adi.ad937x + adi.ad9434 + adi.ad9467 + adi.ad9625 + adi.ad9680 + adi.ad4858 + adi.ad9739a + adi.ada4961 + adi.adaq8092 + adi.adar1000 + adi.adf4159 + adi.adf4355 + adi.adf4371 + adi.adf5610 + adi.adg2128 + adi.adis16375 + adi.adis16460 + adi.adis16475 + adi.adis16480 + adi.adis16485 + adi.adis16488 + adi.adis16490 + adi.adis16495 + adi.adis16497 + adi.adis16507 + adi.adis16545 + adi.adis16547 + adi.adl5240 + adi.adl5960 + adi.admv8818 + adi.adpd1080 + adi.adpd188 + adi.adpd410x + adi.adrf5720 + adi.adrv9002 + adi.adrv9009 + adi.adrv9009_zu11eg + adi.adrv9009_zu11eg_fmcomms8 + adi.adrv9009_zu11eg_multi + adi.adt7420 + adi.adxl313 + adi.adxl345 + adi.adxl355 + adi.adxrs290 + adi.cn0511 + adi.cn0532 + adi.cn0540 + adi.cn0554 + adi.cn0556 + adi.cn0565 + adi.cn0566 + adi.cn0575 + adi.cn0579 + adi.daq2 + adi.daq3 + adi.fmc_vna + adi.fmcadc3 + adi.fmcjesdadc1 + adi.fmclidar1 + adi.fmcomms5 + adi.fmcomms11 + adi.gen_mux + adi.jesd + adi.lm75 + adi.ltc2314_14 + adi.ltc2387 + adi.ltc2499 + adi.ltc2664 + adi.ltc2688 + adi.ltc2672 + adi.ltc2983 + adi.max11205 + adi.max14001 + adi.max31855 + adi.max31865 + adi.max9611 + + adi.one_bit_adc_dac + adi.tdd + adi.tddn + +----- + +.. automodule:: adi + :members: + :undoc-members: + :show-inheritance: diff --git a/main/_sources/fpga/index.rst.txt b/main/_sources/fpga/index.rst.txt new file mode 100644 index 000000000..a94b9eca8 --- /dev/null +++ b/main/_sources/fpga/index.rst.txt @@ -0,0 +1,60 @@ +FPGA Features +=================== + +Direct Digital Synthesizers +--------------------------- + +For FPGA based systems ADI reference designs include direct digital synthesizers (DDS) which can generate tones with arbitrary phase, frequency, and amplitude. For each individual DAC channel there are two DDSs which can have a unique phase, frequency, and phase. To configure the DDSs there are a number of methods and properties available depending on the complexity of the configuration. + +For the most basic or easiest configuration options use the methods **dds_single_tone** and **dds_dual_tone** which generate a one tone or two tones respectively on a specific channel. + +.. code-block:: python + + import adi + + sdr = adi.ad9361() + # Generate a single complex tone + dds_freq_hz = 10000 + dds_scale = 0.9 + # Enable all DDSs + sdr.dds_single_tone(dds_freq_hz, dds_scale) + + +To configure DDSs individually a list of scales can be passed to the properties **dds_scales**, **dds_frequencies**, and **dds_phases**. + +.. code-block:: python + + import adi + + sdr = adi.ad9361() + n = len(sdr.dds_scales) + # Enable all DDSs + sdr.dds_enabled = [True] * n + # Set all DDSs to same frequency, scale, and phase + dds_freq_hz = 10000 + sdr.dds_phases = [0] * n + sdr.dds_frequencies = [dds_freq_hz] * n + sdr.dds_scales = [0.9] * n + +DDS Methods +--------------------------- +.. automodule:: adi.dds + :members: + + +DMA Synchronization +--------------------------- + +In certain HDL reference designs it is possible to synchronize transfers between the transmit and receive data paths. This is useful for applications such as radar processing, communications, instrumentation, and general testing. + +This works by leveraging special control signals inside the HDL design to trigger receive captures from transmitted buffers. These are controlled through the **sync_start** class, which provide explicit control over when data is transmitted or released from the DMA in the FPGA fabric. This transmit or trigger will in turn allow data into the receive DMA at this moment in time. The exact methods and their sequence are described in the flowchart below. + +.. mermaid:: dma_sync.mmd + + +A full example that leverages this control is `ad9081_sync_start_example.py `_. + +Sync_Start Methods +--------------------------- +.. automodule:: adi.sync_start + :members: diff --git a/main/_sources/guides/connectivity.rst.txt b/main/_sources/guides/connectivity.rst.txt new file mode 100644 index 000000000..a1c18f199 --- /dev/null +++ b/main/_sources/guides/connectivity.rst.txt @@ -0,0 +1,41 @@ +Connectivity +=================== + +Since pyadi-iio is built on top of libiio, it can use the different `backends `_ which allow device control and data transfer to and from devices remotely. These backends include serial, Ethernet, PCIe, USB, and of course locally connected devices can be controlled through the local backend. Connecting to a board remotely over a specific backend is done by defining a specific universal resource indicator (URI) and passing it to the class constructors for a specific device. Here is a simple example that uses the Ethernet backend with a target board with IP address 192.168.2.1: + +.. code-block:: python + + # Import the library + import adi + + # Create a device interface + sdr = adi.ad9361(uri="ip:192.168.2.1") + # Read back properties from hardware + print(sdr.rx_hardwaregain0) + + +Devices that are connected over USB or are on a system with IIO devices like a ZC706 or Zedboard, should be able to automatically connect without defining a URI like: + +.. code-block:: python + + # Import the library + import adi + + # Create a device interface + sdr = adi.Pluto() + # Read back properties from hardware + print(sdr.tx_rf_bandwidth) + +Whoever if you have multiple USB device connected an want to pick one specifically, the set the USB URI similar to IP: + +.. code-block:: python + + # Import the library + import adi + + # Create a device interface + sdr = adi.Pluto(uri="usb:1.24.5") + # Read back properties from hardware + print(sdr.tx_rf_bandwidth) + +If you are not sure of the device URI you can utilize libiio command-line tools like `iio_info `_ and `iio_attr `_. diff --git a/main/_sources/guides/examples.rst.txt b/main/_sources/guides/examples.rst.txt new file mode 100644 index 000000000..dd9289114 --- /dev/null +++ b/main/_sources/guides/examples.rst.txt @@ -0,0 +1,74 @@ +Examples +=================== + +Here is a collection of small examples which demonstrate how to interface with different devices in different ways. + +Configuring hardware properties and reading back settings + +.. code-block:: python + + # Import the library + import adi + + # Create a device interface + sdr = adi.ad9361() + # Configure properties + sdr.rx_rf_bandwidth = 4000000 + sdr.rx_lo = 2000000000 + sdr.tx_lo = 2000000000 + sdr.tx_cyclic_buffer = True + sdr.tx_hardwaregain = -30 + sdr.gain_control_mode = "slow_attack" + # Read back properties from hardware + print(sdr.rx_hardwaregain) + +Send data to a device and receiving data from a device + +.. code-block:: python + + import adi + import numpy as np + + sdr = adi.ad9361() + data = np.arange(1, 10, 3) + # Send + sdr.tx(data) + # Receive + data_rx = sdr.rx() + +Configure the DDS of a transmit capable FPGA based device + +.. code-block:: python + + import adi + + sdr = adi.DAQ2() + # Configure DDS + tone_freq_hz = 1000 # In Hz + tone_scale = 0.9 # Range: 0-1.0 + tx_channel = 1 # Starts at 0 + sdr.dds_single_tone(tone_freq_hz, tone_scale, tx_channel) + + +Using URIs to access specific devices over the network + +.. code-block:: python + + import adi + + # Create device from specific uri address + sdr = adi.ad9361(uri="ip:192.168.2.1") + data = sdr.rx() + +Using URIs to access specific devices over USB + +.. code-block:: python + + import adi + + # Create device from specific uri address + sdr = adi.Pluto(uri="usb:1.24.5") + data = sdr.rx() + + +Other complex examples are available in the `source repository `_ diff --git a/main/_sources/guides/quick.rst.txt b/main/_sources/guides/quick.rst.txt new file mode 100644 index 000000000..7895e32d5 --- /dev/null +++ b/main/_sources/guides/quick.rst.txt @@ -0,0 +1,112 @@ +Quick Start +=============== + +Before installing pyadi-iio make sure you have `libiio `_ and `its python bindings `_ installed. Since libiio v0.21, the libiio python bindings have been available on pypi and conda. The conda package includes the built library but the pypi install will require that it is installed beforehand. If you install pyadi-iio directly from pypi or conda they will automatically install the python bindings for libiio (pylibiio). + +.. note:: + + libiio (the C library) does not currently have a pip installer, but releases are available on `GitHub `_ along with the `source `_. + For releases v0.19+ of libiio, when building from source the -DPYTHON_BINDINGS=ON flag is required + +pyadi-iio can by installed from pip + +.. code-block:: bash + + (sudo) pip install pyadi-iio + +or by grabbing the source directly for a more up to date version + +.. code-block:: bash + + git clone https://github.com/analogdevicesinc/pyadi-iio.git + cd pyadi-iio + (sudo) pip install . + +To install the optional dependencies for JESD debugging and control + +.. code-block:: bash + + (sudo) pip install pyadi-iio[jesd] + +Note that this is only needed for the ADRV9009-ZU11EG multi-SOM configuration. + +.. note:: + + On Linux the libiio python bindings are sometimes installed in locations not on path when building from source. On Ubuntu this is a common fix + + .. code-block:: bash + + export PYTHONPATH=$PYTHONPATH:/usr/lib/python{PYTHON VERSION}/site-packages + +Using Virtual Environments +-------------------------- + +It is recommended to use virtual environments when installing pyadi-iio. This will prevent any conflicts with other python packages that may be installed on your system. Newer versions of such Linux distributions, like Debian, do not allow the installation of global packages either. Therefore, if a package is not within their package managers you must your virtual environments. To create a virtual environment run: + +.. code-block:: bash + + python3 -m venv /path/to/new/virtual/environment + +To activate the virtual environment run: + +.. code-block:: bash + + source /path/to/new/virtual/environment/bin/activate + +To deactivate the virtual environment run: + +.. code-block:: bash + + deactivate + +Once the virtual environment is activated, you can install pyadi-iio as normal with pip. + +Here is a full example of a virtual environment setup and install of pyadi-iio: + +.. code-block:: bash + + dave@hal:~$ python3 -m venv /home/dave/venv/pyadi-iio + dave@hal:~$ source /home/dave/venv/pyadi-iio/bin/activate + (pyadi-iio) dave@hal:~$ pip install pyadi-iio + Collecting pyadi-iio + Downloading ... + + +Conda Install +------------- + +For those who use the Anaconda or Conda environments, it is possible to install libiio from within those environments with the provided package managers. To install libiio, pylibiio, and pyadi-iio run: + +.. code-block:: bash + + conda install -c conda-forge pyadi-iio + +Install Checks +-------------- + +For check for libiio with the following from a command prompt or terminal: + +.. code-block:: bash + + dave@hal:~$ python3 + Python 3.6.8 (default, Jan 14 2019, 11:02:34) + [GCC 8.0.1 20180414 (experimental) [trunk revision 259383]] on linux + Type "help", "copyright", "credits" or "license" for more information. + >>> import iio + >>> iio.version + (0, 18, 'eec5616') + + +If that worked, try the follow to see if pyadi-iio is there: + +.. code-block:: bash + + dave@hal:~$ python3 + Python 3.6.8 (default, Jan 14 2019, 11:02:34) + [GCC 8.0.1 20180414 (experimental) [trunk revision 259383]] on linux + Type "help", "copyright", "credits" or "license" for more information. + >>> import adi + >>> adi.__version__ + '0.0.5' + >>> adi.name + 'Analog Devices Hardware Interfaces' diff --git a/main/_sources/index.rst.txt b/main/_sources/index.rst.txt new file mode 100644 index 000000000..17deb9dfa --- /dev/null +++ b/main/_sources/index.rst.txt @@ -0,0 +1,98 @@ +.. Analog Devices Hardware Python Interfaces documentation master file, created by + sphinx-quickstart on Wed Jun 26 11:46:55 2019. + You can adapt this file completely to your liking, but it should at least + contain the root `toctree` directive. + +Analog Devices Hardware Python Interfaces +===================================================================== + +**pyadi-iio** is a python abstraction module for ADI hardware with IIO drivers to make them easier to use. The libIIO interface although extremely flexible can be cumbersome to use due to the amount of boilerplate code required for even simple examples, especially when interfacing with buffers. This module has custom interfaces classes for specific parts and development systems which can generally make them easier to understand and use. To get up and running with a device can be as simple as a few lines of code: + +.. code-block:: python + + import adi + + # Create device from specific uri address + sdr = adi.ad9361(uri="ip:192.168.2.1") + # Get data from transceiver + data = sdr.rx() + +.. raw:: html + +
+
+ + +
+
+ +.. raw:: html + + + +
+ + GitHub Pages + + + + EngineerZone + + + + Analog Wiki + +
+ + +Requirements +================== +* `libiio `_ +* numpy +* (Optional) paramiko for JESD204 debugging +* `(Optional) libad9361 for AD9361 specific devices `_ +* `(Optional) libad9166 for the CN0511 raspberry pi based DDS `_ +* `(Optional) libadrv9002 for ADRV9002 specific devices `_ + +Sections +================== +.. toctree:: + :maxdepth: 1 + + guides/quick + attr/index + guides/examples + guides/connectivity + buffers/index + fpga/index + libiio + support + dev/index + devices/index + +Indices and tables +================== + +* :ref:`genindex` +* :ref:`modindex` +* :ref:`search` diff --git a/main/_sources/libiio.md.txt b/main/_sources/libiio.md.txt new file mode 100644 index 000000000..a26139971 --- /dev/null +++ b/main/_sources/libiio.md.txt @@ -0,0 +1,121 @@ +# libiio Direct Access + +**pyadi-iio** is built on-top of **libiio**, specifically its python bindings [pylibiio](https://pypi.org/project/pylibiio/). However, **pyadi-iio** tries to limit or shape the top-level access of certain properties of drivers exposed by _libiio_ and its structure so users do not have to understand how **libiio** works. This is great until you need access to something not directly exposed by one of **pyadi-iio**'s classes. Fortunately, there is an easy way to directly access the [libiio python API](https://analogdevicesinc.github.io/libiio/v0.23/python/index.html) when necessary. + +## libiio Entry Points + +The main object interface to **libiio** is through the **ctx** property, which is available in every device-specific class. The context is used internally by **ctx** to do all **libiio** specific operations. Here is an example of using the **ctx** property with **pyadi-iio** and **libiio**: + +```python +import adi +import iio + +sdr = adi.Pluto("ip:pluto.local") +ctx = iio.Context("ip:pluto.local") + +for d1, d2 in zip(sdr.ctx.devices, ctx.devices): + print(d1.name, "|", d2.name) +``` + +Output: + +```bash +ad9361-phy | ad9361-phy +xadc | xadc +cf-ad9361-dds-core-lpc | cf-ad9361-dds-core-lpc +cf-ad9361-lpc | cf-ad9361-lpc +``` + +By convention device-specific classes will populate the main control driver as property **\_ctrl**, the RX driver associated with data (DMA) as **\_rxadc**, and the TX driver associated with data (DMA) and DDSs as **\_txdac**. However, this is not always guaranteed depending on class implementation. + +Please refer to the [libiio python API](https://analogdevicesinc.github.io/libiio/v0.23/python/index.html) for documentation on using **libiio** directly. + +## Examples + +Here is an example of setting the enable state machine on Pluto through the **libiio** API through **pyadi-iio**: + +```python +import adi + +sdr = adi.Pluto() + +phy = sdr.ctx.find_device("ad9361-phy") +# View current mode +print(phy.attrs["ensm_mode"].value) +# View options +print(phy.attrs["ensm_mode_available"].value) +# Update mode +phy.attrs["ensm_mode"].value = "alert" +# View new mode +print(phy.attrs["ensm_mode"].value) +``` + +Output: + +```bash +fdd +sleep wait alert fdd pinctrl pinctrl_fdd_indep +alert +``` + +Here we can print all **libiio** debug attributes: + +```python +import adi + +sdr = adi.Pluto() + +phy = sdr.ctx.find_device("ad9361-phy") +for dattr in phy.debug_attrs: + print(dattr, phy.debug_attrs[dattr]) +``` + +Output: + +```bash +digital_tune digital_tune +calibration_switch_control calibration_switch_control +multichip_sync multichip_sync +gaininfo_rx2 gaininfo_rx2 +gaininfo_rx1 gaininfo_rx1 +bist_timing_analysis bist_timing_analysis +gpo_set gpo_set +bist_tone bist_tone +bist_prbs bist_prbs +loopback loopback +initialize initialize +adi,bb-clk-change-dig-tune-enable adi,bb-clk-change-dig-tune-enable +adi,axi-half-dac-rate-enable adi,axi-half-dac-rate-enable +adi,txmon-2-lo-cm adi,txmon-2-lo-cm +adi,txmon-1-lo-cm adi,txmon-1-lo-cm +adi,txmon-2-front-end-gain adi,txmon-2-front-end-gain +adi,txmon-1-front-end-gain adi,txmon-1-front-end-gain +... +``` + +On some devices it is possible to access registers. This must be done through the Device classes of the context: + +```python +import adi + +sdr = adi.Pluto() + +phy = sdr.ctx.find_device("ad9361-phy") +# Read product ID register +pi = phy.reg_read(0x37) +print(f"ID: {hex(pi)}") +# Enable near-end loopback in the HDL core +rxfpga = sdr.ctx.find_device("cf-ad9361-lpc") +rxfpga.reg_write(0x80000418, 0x1) # I channel +rxfpga.reg_write(0x80000458, 0x1) # Q channel +``` + +Output: + +```bash +ID: 0xa +``` + +## libiio v1.X support + +**pyadi-iio** supports **libiio** v1.X and v0.X. However, the **libiio** python bindings are not available on PyPI for v1.X and they are currently unstable. If you require stable operation, please use **libiio** v0.X. Its also possible that not all ecosystem features are available yet for v1.X. Please report any issues you find with v1.X. diff --git a/main/_sources/support.rst.txt b/main/_sources/support.rst.txt new file mode 100644 index 000000000..1218bfd7e --- /dev/null +++ b/main/_sources/support.rst.txt @@ -0,0 +1,4 @@ +Support +=================== + +Question and general support related to pyadi-iio should be ask in the `Software Interface Tools `_ forum at `ADI's EngineerZone `_. Code bugs or enhancement requests should be submitted through `GitHub issues `_ for the repository itself. diff --git a/main/_static/app.umd.js b/main/_static/app.umd.js new file mode 100644 index 000000000..937dfe8e4 --- /dev/null +++ b/main/_static/app.umd.js @@ -0,0 +1,2 @@ +!function(e,t){"object"==typeof exports&&"undefined"!=typeof module?module.exports=t():"function"==typeof define&&define.amd?define(t):(e="undefined"!=typeof globalThis?globalThis:e||self).App=t()}(this,(function(){"use strict";class e{constructor(e,t){if(this.$,"string"==typeof e){if(this.$=document.createElement(e),"object"==typeof t)for(const e in t)e in this.$?this.$[e]=t[e]:this.$.dataset[e]=t[e]}else this.$=e}cloneNode(t){return new e(this.$.cloneNode(t))}set innerText(e){this.$.innerText=e}get innerText(){return this.$.innerText}get height(){return this.$.offsetHeight}get width(){return this.$.offsetWidth}get id(){return this.$.id}set id(e){this.$.id=e}get value(){return this.$.value}set value(e){this.$.value=e}get src(){return this.$.src}set src(e){this.$.src=e}focus(){this.$.focus()}get classList(){return this.$.classList}get style(){return this.$.style}onchange(e,t,n){return this.$.onchange=r=>{void 0===n?t.apply(e,[r]):n.constructor==Array&&(n.push(r),t.apply(e,n))},this}onclick(e,t,n){return this.$.onclick=r=>{void 0===n?t.apply(e,[r]):n.constructor==Array&&(n.push(r),t.apply(e,n))},this}onup(e,t,n){return this.$.addEventListener("mouseup",(r=>{void 0===n?t.apply(e,[r]):n.constructor==Array&&(n.push(r),t.apply(e,n))})),this}ondown(e,t,n){return this.$.addEventListener("mousedown",(r=>{void 0===n?t.apply(e,[r]):n.constructor==Array&&(n.push(r),t.apply(e,n))})),this}onmove(e,t,n){return this.$.addEventListener("mousemove",(r=>{void 0===n?t.apply(e,[r]):n.constructor==Array&&(n.push(r),t.apply(e,n))})),this}onevent(e,t,n,r){return this.$.addEventListener(e,(e=>{void 0===r?n.apply(t,[e]):r.constructor==Array&&(r.push(e),n.apply(t,r))})),this}append(e){return e.constructor!=Array&&(e=[e]),e.forEach((e=>{/HTML(.*)Element/.test(e.constructor.name)?this.$.appendChild(e):"object"==typeof e&&/HTML(.*)Element/.test(e.$.constructor.name)&&this.$.appendChild(e.$)})),this}delete(){this.$.remove()}removeChilds(){let e=this.$.lastElementChild;for(;e;)this.$.removeChild(e),e=this.$.lastElementChild;return this}static get(t,n){return void 0===(n=n instanceof e?n.$:n)?document.querySelector(t):n.querySelector(t)}static getAll(t,n){return"object"==typeof(n=n instanceof e?n.$:n)?n.querySelectorAll(t):get(n).querySelectorAll(t)}static switchState(t,n){let r=null!=n?n:"on";(t=t instanceof e?t.$:t).classList.contains(r)?t.classList.remove(r):t.classList.add(r)}static UID(){return(+new Date).toString(36)+Math.random().toString(36).substr(2)}static prototypeDetails(t){let n=new e("summary",{innerText:t.innerText}),r=new e("details",{id:t.id,name:t.id}).append(n);return null!=t.onevent&&t.onevent.forEach((e=>{e.args.push(r.$),n.onevent(e.event,e.self,e.fun,e.args)})),r}static prototypeInputFile(t){return new e("label",{htmlFor:`${t.id}_input`,id:t.id,className:t.className,innerText:t.innerText}).append(new e("input",{id:`${t.id}_input`,type:"file"}))}static prototypeCheckSwitch(t){let n=new e("input",{id:t.id,name:t.id,className:"checkswitch",type:"checkbox",value:!1});return[n,new e("div",{className:t.className}).append([new e("div").append([new e("label",{className:"checkswitch",htmlFor:t.id,innerText:t.innerText}).append([n,new e("span")])])])]}static prototypeDownload(e,t){let n,r=/.*\.(py|xml|csv|json|svg|png)$/;if(!r.test(e))return;let o=e.match(r)[1];switch(e=e.replaceAll("/","-").replaceAll(" ","_").toLowerCase(),o){case"xml":n="data:x-application/xml;charset=utf-8,"+encodeURIComponent(t);break;case"py":n="data:text/python;charset=utf-8,"+encodeURIComponent(t);break;case"json":n="data:text/json;charset=utf-8,"+encodeURIComponent(t);break;case"csv":n="data:text/csv;charset=utf-8,"+encodeURIComponent(t);break;case"svg":n="data:image/svg+xml;charset=utf-8,"+encodeURIComponent(t);break;case"png":n=t}let s=document.createElement("a");s.setAttribute("href",n),s.setAttribute("download",e),s.style.display="none",document.body.appendChild(s),s.click(),document.body.removeChild(s)}static setSelected(e,t){for(var n=0;n nav > ul > li")),this.initScrollSpy(),null===this.currentTheme&&(this.currentTheme=this.getOSTheme()),n.body.classList.add("js-on"),this.currentTheme!==this.getOSTheme()&&n.body.classList.add(this.currentTheme),n.searchButton=new e("button",{id:"search",className:"icon",title:"Search (/)"}).onclick(this,(()=>{e.switchState(n.searchArea),e.switchState(n.searchAreaBg),n.searchInput.focus(),n.searchInput.$.select()})),n.changeTheme=new e("button",{className:"dark"===this.currentTheme?"icon on":"icon",id:"theme",title:"Switch theme"}).onclick(this,(()=>{n.body.classList.remove(this.currentTheme),this.currentTheme="dark"===this.currentTheme?"light":"dark",this.getOSTheme()==this.currentTheme?localStorage.removeItem("theme"):(localStorage.setItem("theme",this.currentTheme),n.body.classList.add(this.currentTheme))})),n.searchAreaBg=new e("div",{className:"search-area-bg"}).onclick(this,(()=>{e.switchState(n.searchArea),e.switchState(n.searchAreaBg)})),n.searchArea=new e(e.get(".search-area")),n.searchForm=new e(e.get("form",n.searchArea)),n.searchInput=new e(e.get("input",n.searchForm)),n.searchForm.$.action=e.get('link[rel="search"]').href,n.body.append([n.searchAreaBg]),n.rightHeader=new e(e.get("header #right span.reverse")).append([n.changeTheme,n.searchButton]),n.relatedNext=e.get(".related .next"),n.relatedPrev=e.get(".related .prev")}initScrollSpy(){null!==this.$.localtoc.$&&this.prepareLocaltocMap()}prepareLocaltocMap(){let t="",n=this.scrollSpy.localtoc,r=0;e.getAll(".reference.internal",this.$.localtoc).forEach((e=>{t=`${r}_${e.textContent}`,n.set(t,[e,void 0]),r+=1}));let o=[];for(let t=0;t<7;t++)o.push(...e.getAll(`section > h${t}`,this.$.content));o=o.sort(((e,t)=>e.getBoundingClientRect().y-t.getBoundingClientRect().y)),r=0,o.forEach((e=>{t=e.textContent,t=`${r}_${t.substring(0,t.length-1)}`,n.has(t)&&(n.set(t,[n.get(t)[0],e]),r+=1)})),n.forEach(((e,t,n)=>{void 0===e[1]&&n.delete(t)}))}handleResize(){this.portrait=window.innerHeight>window.innerWidth}handleScroll(){if(null!==this.$.localtoc.$){let e,t,n,r,o=Number.MAX_SAFE_INTEGER,s=Number.MIN_SAFE_INTEGER,a=this.scrollSpy.localtoc;if(a.forEach(((n,a,i)=>{r=n[1].getBoundingClientRect().y,r<=0?r>s&&(s=r,e=a):r1?`#${location.href.split("#")[1]}`:"";"ArrowLeft"==e.code&&this.$.relatedPrev?location.href=this.$.relatedPrev.href+t:"ArrowRight"==e.code&&this.$.relatedNext&&(location.href=this.$.relatedNext.href+t)}keyUp(e){switch(e.key){case"ArrowLeft":case"ArrowRight":this.related(e);break;case"/":this.search(e)}"Escape"===e.code&&this.search(e)}init(){onresize=()=>{this.handleResize()},onscroll=()=>{this.handleScroll()},document.addEventListener("keyup",(e=>{this.keyUp(e)}),!1),this.dynamic()}dynamic(){if(this.offline)return void console.log("navigation: dynamic features are not available in offline mode");let t=this.$;t.repotocTreeOverlay=new e(e.get(".repotoc-tree.overlay root")),t.repotocTreeSidebar=new e(e.get(".sphinxsidebar .repotoc-tree root")),t.banner=new e(e.get(".banner"));let n=e=>{"repotoc"in e&&this.dynamicRepoToc(e.repotoc),"banner"in e&&this.dynamicBanner(e.banner)},r=localStorage.getItem("metadata");null!==r&&(r=JSON.parse(r));let o=new Date(0);if(o.setHours(24),null===r||r.timestamp+o{if(!0===e.ok)return e.json()})).then((e=>{e&&(n(e),e.timestamp=Date.now(),localStorage.setItem("metadata",JSON.stringify(e)))})).catch((e=>{}))}else n(r)}dynamicRepoToc(t){let n=this.$,r="index.html",o=[],s=[];for(const[n,o]of Object.entries(t)){if(!("name"in o))continue;let t=n==this.repo[0]?this.contentRoot:`${this.globalRoot}${n}/`;if("topic"in o)for(const[a,i]of Object.entries(o.topic)){if("string"!=typeof i)continue;let o=new e("a",{href:`${t}${a}/${r}`,className:this.repo.join("/")===`${n}/${a}`?"current":""});o.innerText=i,s.push(o)}else s.push(new e("a",{href:`${t}${r}`,className:this.repo[0]===n?"current":"",innerText:o.name}))}s.forEach((e=>{o.push(e.cloneNode(!0))})),n.repotocTreeOverlay.$&&(n.repotocTreeOverlay.removeChilds(),n.repotocTreeOverlay.append(o)),n.repotocTreeSidebar.$&&(n.repotocTreeSidebar.removeChilds(),n.repotocTreeSidebar.append(s))}dynamicBanner(t){let n=this.$;"msg"in t&&n.banner.append(new e("span",{innerText:t.msg})),"a_href"in t&&"a_text"in t&&n.banner.append(new e("a",{href:t.a_href,innerText:t.a_text,target:"_blank"}))}setState(e,t){e.forEach((e=>{t?e.classList.add("on"):e.classList.remove("on")}))}getOSTheme(){return window.matchMedia("(prefers-color-scheme: dark)").matches?"dark":"light"}};function n(){window.app={},app.navigation=t,app.navigation.init()}return n(),n})); +//# sourceMappingURL=app.umd.js.map diff --git a/main/_static/app.umd.js.map b/main/_static/app.umd.js.map new file mode 100644 index 000000000..f24994cbb --- /dev/null +++ b/main/_static/app.umd.js.map @@ -0,0 +1 @@ +{"version":3,"file":"app.umd.js","sources":["../scripts/dom.js","../scripts/navigation.js","../scripts/app.js"],"sourcesContent":["\"use strict\";\nexport {DOM, Animate}\n\n/** Make DOM element*/\nclass DOM {\n constructor (dom, tags){\n this.$\n if (typeof dom != 'string'){\n this.$ = dom\n return\n }\n this.$ = document.createElement(dom);\n if (typeof tags == 'object') for (const tag in tags) {\n if (tag in this.$)\n this.$[tag] = tags[tag]\n else\n this.$.dataset[tag] = tags[tag]\n }\n }\n /**\n * Clone node\n * @param {bool} deep - If true, then the node and its whole subtree,\n * including text that may be in child Text nodes, is also copied.\n */\n cloneNode (deep){\n let node = new DOM(this.$.cloneNode(deep))\n return node\n }\n /**\n * Set DOM innerText.\n * @param {string} str - Text to apply.\n */\n set innerText(str){\n this.$.innerText = str\n }\n /**\n * Get DOM innerText.\n */\n get innerText(){\n return this.$.innerText\n }\n /** Get DOM offset height */\n get height (){\n return this.$.offsetHeight\n }\n /** Get DOM offset width */\n get width (){\n return this.$.offsetWidth\n }\n /** Get DOM id */\n get id (){\n return this.$.id\n }\n /** Set DOM id */\n set id (str){\n this.$.id= str\n }\n /** Get DOM value */\n get value (){\n return this.$.value\n }\n /** Set DOM value */\n set value (str){\n this.$.value = str\n }\n /** Get DOM src */\n get src (){\n return this.$.src\n }\n /** Set DOM src */\n set src (str){\n this.$.src = str\n }\n /**\n * Focus on DOM.\n */\n focus (){\n this.$.focus()\n }\n /** Get DOM classList object. */\n get classList(){\n return this.$.classList\n }\n /** Get DOM style object. */\n get style(){\n return this.$.style\n }\n /**\n * Append a ``onchange`` event.\n * @param {function} ev - Function to be executed on click.\n */\n onchange (self, ev, args){\n this.$.onchange = (e) => {\n if (typeof args == 'undefined')\n ev.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n ev.apply(self, args)\n }\n }\n return this\n }\n /**\n * Append a ``onclick`` event.\n * @param {function} ev - Function to be executed on click.\n */\n onclick (self, ev, args){\n this.$.onclick = (e) => {\n if (typeof args == 'undefined')\n ev.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n ev.apply(self, args)\n }\n }\n return this\n }\n /**\n * Append a ``mouseup`` and ``touchup`` event.\n * @param {function} ev - Function to be executed on up.\n */\n onup (self, ev, args){\n this.$.addEventListener('mouseup', (e) => {\n if (typeof args == 'undefined')\n ev.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n ev.apply(self, args)\n }\n })\n return this\n }\n /**\n * Append a ``mousedown`` and ``touchdown`` event.\n * @param {function} ev - Function to be executed on down.\n */\n ondown (self, ev, args){\n this.$.addEventListener('mousedown', (e) => {\n if (typeof args == 'undefined')\n ev.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n ev.apply(self, args)\n }\n })\n return this\n }\n /**\n * Append a ``mousemove`` and ``touchmove`` event.\n * @param {function} ev - Function to be executed on move.\n */\n onmove (self, ev, args){\n this.$.addEventListener('mousemove', (e) => {\n if (typeof args == 'undefined')\n ev.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n ev.apply(self, args)\n }\n })\n return this\n }\n /**\n * Append a event listener.\n * @param {string} event - Event listener name.\n * @param {function} fun - Function to be executed on move.\n * @param {function} args - Arguments to be applied to the function.\n */\n onevent (event, self, fun, args){\n this.$.addEventListener(event, (e) => {\n if (typeof args == 'undefined')\n fun.apply(self, [e])\n else if (args.constructor == Array) {\n args.push(e)\n fun.apply(self, args)\n }\n })\n return this\n }\n /**\n * Appends others :js:func:`DOM`.\n * @param {Object[]} DOMS - Array of :js:func:`DOM` or/and direct DOM Nodes.\n */\n append (DOMS){\n if (DOMS.constructor != Array)\n DOMS = [DOMS]\n\n DOMS.forEach ((item) => {\n if (/HTML(.*)Element/.test(item.constructor.name))\n this.$.appendChild(item)\n else if (typeof item == 'object' &&\n /HTML(.*)Element/.test(item.$.constructor.name))\n this.$.appendChild(item.$)\n })\n\n return this\n }\n /**\n * Delete object.\n */\n delete (){\n this.$.remove()\n delete this\n }\n /**\n * Remove childs from :js:func:`DOM` object.\n */\n removeChilds (){\n let child = this.$.lastElementChild\n while (child) {\n this.$.removeChild(child)\n child = this.$.lastElementChild\n }\n return this\n }\n /**\n * Get DOM Node element.\n * @param {string} a - Target object query selector.\n * @param {Object} b - Optional parent DOM.\n */\n static get (a, b){\n b = b instanceof DOM ? b.$ : b\n return (typeof b == 'undefined') ? document.querySelector (a) : b.querySelector(a)\n }\n /**\n * Get all DOM Node elements.\n * @param {string} a - Target object query selector.\n * @param {Object} b - Parent DOM.\n */\n static getAll(a, b){\n b = b instanceof DOM ? b.$ : b\n return (typeof b == 'object') ? b.querySelectorAll(a) : get(b).querySelectorAll(a)\n }\n /**\n * Include or remove a class to a DOM.\n * @param {Object} b - Target DOM.\n * @param {string} _class - Optional class, defaults to `on`.\n */\n static switchState (b, _class){\n b = b instanceof DOM ? b.$ : b\n let cn = _class != undefined ? _class : `on`\n if (b.classList.contains(cn))\n b.classList.remove(cn)\n else\n b.classList.add(cn)\n }\n /**\n * Generate a unique identifier.\n */\n static UID (){\n return (+new Date).toString(36) + Math.random().toString(36).substr(2)\n }\n /**\n * Prototype a DOM composed by details, sumamary and a h2 title with optional\n * onclick event.\n * @param {Object} str - id, title and onclick function of the DOM element.\n * @param {string} str.id - Id of the DOM element.\n * @param {string} str.title - Title of the DOM element.\n * @param {Object} str.onclick - Onclick function of the DOM element.\n */\n static prototypeDetails (str){\n let summary = new DOM('summary', {innerText:str.innerText})\n let details = new DOM('details', {id:str.id, name:str.id})\n .append(summary)\n\n if (str.onevent != undefined) {\n str.onevent.forEach(event => {\n event.args.push(details.$)\n summary.onevent(\n event.event,\n event.self,\n event.fun,\n event.args\n )\n })\n }\n return details\n }\n /**\n * Prototype a DOM composed by input(file type) and label.\n * @param {Object} str - id, className and innerText of the DOM element.\n * @param {string} str.id - Id of the DOM element.\n * @param {string} str.className - ClassName of the DOM element.\n * @param {string} str.innerText - Inner text of the DOM element.\n */\n static prototypeInputFile (str){\n return new DOM('label', {\n htmlFor:`${str.id}_input`,\n id:str.id,\n className:str.className,\n innerText:str.innerText\n }).append(\n new DOM('input', {id:`${str.id}_input`, type:'file'})\n )\n }\n /**\n * Prototype a DOM composed by input(checkbox) and label styled as as switch.\n * @param {Object} str - id, className and innerText of the DOM element.\n * @param {string} str.id - Id of the DOM element.\n * @param {string} str.className - ClassName of the DOM element.\n * @param {string} str.innerText - Inner text of the DOM element.\n * @returns Array with input and container.\n */\n static prototypeCheckSwitch (str){\n let input = new DOM('input', {\n id:str.id,\n name:str.id,\n className:'checkswitch',\n type:'checkbox',\n value:false\n })\n\n let container = new DOM('div', {className:str.className})\n .append([\n new DOM('div')\n .append([\n new DOM('label', {\n className:'checkswitch',\n htmlFor:str.id,\n innerText:str.innerText\n }).append([\n input,\n new DOM('span')\n ])\n ])\n ])\n\n return [input, container]\n }\n /**\n * Prototype a DOM that allows data to be downloded on its creation.\n * @param {string} filename - name of the file.\n * @param {string} file - file content.\n */\n static prototypeDownload (filename, file){\n let data,\n reg = /.*\\.(py|xml|csv|json|svg|png)$/\n if (!reg.test(filename))\n return\n\n let format = filename.match(reg)[1]\n filename = filename\n .replaceAll('/','-')\n .replaceAll(' ','_')\n .toLowerCase()\n\n switch (format) {\n case 'xml':\n data = \"data:x-application/xml;charset=utf-8,\" + encodeURIComponent(file);\n break\n case 'py':\n data = \"data:text/python;charset=utf-8,\" + encodeURIComponent(file);\n break\n case 'json':\n data = \"data:text/json;charset=utf-8,\" + encodeURIComponent(file);\n break\n case 'csv':\n data = \"data:text/csv;charset=utf-8,\" + encodeURIComponent(file);\n break\n case 'svg':\n data = \"data:image/svg+xml;charset=utf-8,\" + encodeURIComponent(file);\n break\n case 'png':\n data = file; // Expect already in blob\n break\n }\n let element = document.createElement('a')\n element.setAttribute('href', data)\n element.setAttribute('download', filename)\n element.style.display = 'none'\n\n document.body.appendChild(element)\n element.click ()\n document.body.removeChild(element)\n }\n /**\n * Set a option of a select list by its innerText.\n * @param {Object} dom - Node of the select list.\n * @param {string} value - Inner text of the target option.\n */\n static setSelected (dom, value){\n for (var i = 0; i < dom.$.options.length; i++){\n if (dom.$.options[i].text == value){\n dom.$.options[i].selected = true\n return\n }\n }\n }\n /**\n * Updates parameter of children of a DOM.\n * Lazy because doesn't care is successful or not.\n * Useful for generic lists, like in searches.\n * @param {Object} dom - Container of the list.\n * @param {string} uid - Item to search for.\n * @param {Object} props - Properties to update, where the key is also the DOM id.\n * @param {string} param - Parameter to update.\n */\n static lazyUpdate (dom, uid, props, param){\n param = param == undefined ? 'innerText' : param\n let element = DOM.get(`[data-uid='${uid}']`, dom)\n for (const key in props){\n DOM.get(`#${key}`, element)[param] = props[key]\n }\n }\n}\n","\"use strict\";\n\nimport {DOM} from './dom.js'\nimport {Toolbox} from './toolbox.js'\n\n/* Handle navigation, theming, search, shortcuts */\nclass Navigation {\n constructor () {\n this.portrait = false\n this.offline = 'file:' == window.location.protocol\n this.currentTheme = localStorage.getItem('theme')\n this.contentRoot = this.getContentRoot()\n this.globalRoot = this.getGlobalRoot()\n this.scrollSpy = {\n localtoc: new Map(),\n currentLocaltoc: undefined\n }\n\n let metaRepo = document.querySelector('meta[name=\"repo\"]')\n this.repo = metaRepo ? metaRepo.content.split('/') : ['']\n\n let $ = this.$ = {}\n $.body = new DOM(DOM.get('body'))\n $.content = new DOM(DOM.get('.body section'))\n $.localtoc = new DOM(DOM.get('.tocwrapper > nav > ul > li'))\n this.initScrollSpy()\n\n if (this.currentTheme === null)\n this.currentTheme = this.getOSTheme()\n $.body.classList.add('js-on')\n if (this.currentTheme !== this.getOSTheme())\n $.body.classList.add(this.currentTheme)\n\n\t $.searchButton = new DOM('button', {\n id:'search',\n className:'icon',\n title:'Search (/)'\n }).onclick(this, () => {\n DOM.switchState($.searchArea)\n DOM.switchState($.searchAreaBg)\n $.searchInput.focus()\n $.searchInput.$.select()\n })\n\t $.changeTheme = new DOM('button', {\n className: this.currentTheme === 'dark' ? 'icon on' : 'icon',\n id:'theme',\n title:'Switch theme'\n }).onclick(this, () => {\n $.body.classList.remove(this.currentTheme)\n this.currentTheme = this.currentTheme === 'dark' ? 'light' : 'dark'\n if (this.getOSTheme() == this.currentTheme)\n localStorage.removeItem('theme')\n else {\n localStorage.setItem('theme', this.currentTheme)\n $.body.classList.add(this.currentTheme)\n }\n })\n\n $.searchAreaBg = new DOM('div', {\n className:'search-area-bg'\n }).onclick(this, () => {\n DOM.switchState($.searchArea)\n DOM.switchState($.searchAreaBg)\n })\n $.searchArea = new DOM(DOM.get('.search-area'))\n $.searchForm = new DOM(DOM.get('form', $.searchArea))\n $.searchInput = new DOM(DOM.get('input', $.searchForm))\n $.searchForm.$['action'] = DOM.get('link[rel=\"search\"]').href\n $.body.append([$.searchAreaBg])\n\n $.rightHeader = new DOM(DOM.get('header #right span.reverse')).append([$.changeTheme, $.searchButton])\n\n $.relatedNext = DOM.get('.related .next')\n $.relatedPrev = DOM.get('.related .prev')\n }\n /*\n * Initates scroll spy elements.\n */\n initScrollSpy () {\n if (this.$.localtoc.$ !== null) {\n this.prepareLocaltocMap()\n }\n }\n /*\n * Prepare map for localtoc elements to be used by the scroll spy.\n */\n prepareLocaltocMap (){\n let key = \"\"\n let lt = this.scrollSpy.localtoc\n let i = 0\n DOM.getAll('.reference.internal', this.$.localtoc).forEach((elem) => {\n key = `${i}_${elem.textContent}`\n lt.set(key, [elem, undefined])\n i += 1\n })\n\n let entries = []\n for (let i = 0; i < 7; i++) {\n entries.push(...DOM.getAll(`section > h${i}`, this.$.content))\n }\n // Sort entries in distance to the top\n entries = entries.sort((a, b) => a.getBoundingClientRect().y - b.getBoundingClientRect().y)\n i = 0\n entries.forEach((elem) => {\n key = elem.textContent\n key = `${i}_${key.substring(0, key.length-1)}` // Remove anchor # char\n if (lt.has(key)) {\n lt.set(key, [lt.get(key)[0], elem])\n i += 1\n }\n })\n // Remove not found entries\n lt.forEach((value, key, map) => {\n if (value[1] === undefined)\n map.delete(key)\n })\n }\n /* Update GUI based on resize event */\n handleResize () {\n this.portrait = window.innerHeight > window.innerWidth ? true : false\n }\n /* Update GUI based on scroll event */\n handleScroll () {\n if (this.$.localtoc.$ !== null) {\n // Highlight localtoc entry\n let key_neg, key_pos, key, dist\n let dist_pos = Number.MAX_SAFE_INTEGER\n let dist_neg = Number.MIN_SAFE_INTEGER\n let lt = this.scrollSpy.localtoc\n lt.forEach((value, key_, map) => {\n dist = value[1].getBoundingClientRect().y\n if (dist <= 0) {\n if (dist > dist_neg) {\n dist_neg = dist\n key_neg = key_\n }\n } else {\n if (dist < dist_pos) {\n dist_pos = dist\n key_pos = key_\n }\n }\n })\n if (dist_pos < 5*16)\n key = key_pos\n else\n key = key_neg\n\n if (key !== undefined) {\n let clt_key = this.scrollSpy.currentLocaltoc\n if (key !== clt_key) {\n lt.get(key)[0].classList.add(\"current\")\n if (clt_key !== undefined) {\n lt.get(clt_key)[0].classList.remove(\"current\")\n }\n this.scrollSpy.currentLocaltoc = key\n }\n }\n }\n }\n /*\n * Get relative path to the root\n * Dual fallback to support multiple Sphinx versions.\n */\n getContentRoot () {\n let content_root\n let dom = new DOM(DOM.get('script#documentation_options'))\n if (dom.$ !== null)\n content_root = dom.$.dataset['url_root'];\n if (content_root == undefined)\n content_root = DOM.get('html').dataset['content_root']\n if (content_root == undefined) {\n dom = new DOM(DOM.get('.repotoc-tree .current'))\n if (dom.$ !== null)\n content_root = dom.$.getAttribute('href').replace('index.html', '')\n }\n if (content_root == undefined) {\n console.warn(\"Failed to get content root.\")\n content_root = ''\n }\n return content_root\n }\n /*\n * Get relative path to the global root\n */\n getGlobalRoot () {\n return document.querySelector('meta[name=\"global_root\"]').content\n }\n /* Search shortcut */\n search (e) {\n if (e.key === '/' && !this.$.searchArea.classList.contains('on')) {\n DOM.switchState(this.$.searchArea)\n DOM.switchState(this.$.searchAreaBg)\n this.$.searchInput.focus()\n this.$.searchInput.$.select()\n } else if (e.code === 'Escape') {\n if (this.$.searchArea.classList.contains('on')) {\n DOM.switchState(this.$.searchArea)\n DOM.switchState(this.$.searchAreaBg)\n }\n }\n }\n /* Related shortcut */\n related (e) {\n if (!e.altKey || !e.shiftKey)\n return\n\n /* Try to anchor to same section */\n let anchor = (e.ctrlKey && location.href.split('#').length > 1) ?\n `#${location.href.split('#')[1]}` : \"\"\n\n if (e.code == 'ArrowLeft' && this.$.relatedPrev)\n location.href = this.$.relatedPrev.href + anchor\n else if (e.code == 'ArrowRight' && this.$.relatedNext)\n location.href = this.$.relatedNext.href + anchor\n }\n\n keyUp (e) {\n switch (e.key) {\n case 'ArrowLeft':\n case 'ArrowRight':\n this.related(e)\n break\n case '/':\n this.search(e)\n }\n\n if (e.code === 'Escape')\n this.search(e)\n }\n /**\n * Init navigation.\n */\n init () {\n onresize = () => {this.handleResize()}\n onscroll = () => {this.handleScroll()}\n document.addEventListener('keyup', (e) => {this.keyUp(e)}, false);\n this.dynamic()\n }\n /**\n * Updates elements in a reactive manner,\n * fetching from the main doctools/metadata.js,\n * that contain the most up-to-date metadata\n */\n dynamic () {\n if (this.offline) {\n console.log(\"navigation: dynamic features are not available in offline mode\")\n return\n }\n\n /* Get dynamic elements */\n let $ = this.$\n $.repotocTreeOverlay = new DOM(DOM.get('.repotoc-tree.overlay root'))\n $.repotocTreeSidebar = new DOM(DOM.get('.sphinxsidebar .repotoc-tree root'))\n $.banner = new DOM(DOM.get('.banner'))\n\n let resolveJSON = (j) => {\n if ('repotoc' in j)\n this.dynamicRepoToc(j['repotoc'])\n if ('banner' in j)\n this.dynamicBanner(j['banner'])\n }\n\n /* Fetch metadata */\n let json = localStorage.getItem('metadata')\n if (json !== null)\n json = JSON.parse(json)\n\n let unix_day = new Date(0)\n unix_day.setHours(24)\n if (json === null || json['timestamp'] + unix_day < Date.now()) {\n let metadata = `${this.globalRoot}doctools/metadata.json`\n\n fetch(metadata, {\n method: 'Get',\n headers: {\n 'Content-Type': 'application/json'\n }\n }).then((response) => {\n if (response.ok !== true) {\n return\n }\n\n return response.json()\n }).then((obj) => {\n if (!obj)\n return\n\n resolveJSON(obj)\n obj['timestamp'] = Date.now()\n localStorage.setItem('metadata', JSON.stringify(obj))\n }).catch((e) => {\n return\n })\n } else {\n resolveJSON(json)\n }\n }\n\n dynamicRepoToc (obj) {\n let $ = this.$\n\n let home = \"index.html\"\n let linksOverlay = [],\n linksSidebar = []\n for (const [key, value] of Object.entries(obj)) {\n if (!('name' in value))\n continue\n\n let base = key == this.repo[0] ?\n this.contentRoot :\n `${this.globalRoot}${key}/`\n if ('topic' in value) {\n for (const [key_, value_] of Object.entries(value['topic'])) {\n if (typeof(value_) !== \"string\")\n continue\n\n let a = new DOM('a', {\n 'href': `${base}${key_}/${home}`,\n 'className': this.repo.join('/') === `${key}/${key_}` ? 'current' : ''\n })\n a.innerText = value_\n\n linksSidebar.push(a)\n }\n } else {\n linksSidebar.push(new DOM('a', {\n 'href': `${base}${home}`,\n 'className': this.repo[0] === key ? 'current' : '',\n 'innerText': value['name']\n }))\n }\n }\n\n linksSidebar.forEach((elem) => {\n linksOverlay.push(elem.cloneNode(true))\n })\n\n if ($.repotocTreeOverlay.$)\n $.repotocTreeOverlay.removeChilds(),\n $.repotocTreeOverlay.append(linksOverlay)\n if ($.repotocTreeSidebar.$)\n $.repotocTreeSidebar.removeChilds(),\n $.repotocTreeSidebar.append(linksSidebar)\n }\n\n dynamicBanner (obj) {\n let $ = this.$\n\n if ('msg' in obj)\n $.banner.append(new DOM('span', {\n 'innerText': obj['msg']\n }))\n\n if ('a_href' in obj && 'a_text' in obj)\n $.banner.append(new DOM('a', {\n 'href': obj['a_href'],\n 'innerText': obj['a_text'],\n 'target': '_blank'\n }))\n }\n /**\n * Set items state.\n * @param state - True for open, false for closed.\n */\n setState (items, state) {\n items.forEach((elem) => {\n if (state) {\n elem.classList.add('on')\n } else {\n elem.classList.remove('on')\n }\n })\n }\n /**\n * Get OS Theme\n */\n getOSTheme () {\n return window.matchMedia(\"(prefers-color-scheme: dark)\").matches ? 'dark' : 'light'\n }\n}\n\nexport let navigation = new Navigation()\n","import '../style/bundle.scss'\nimport { navigation } from './navigation.js'\n\nexport default function App (){\n window.app = {}\n\n app.navigation = navigation\n\n app.navigation.init()\n}\n\nApp()\n"],"names":["DOM","constructor","dom","tags","this","$","document","createElement","tag","dataset","cloneNode","deep","innerText","str","height","offsetHeight","width","offsetWidth","id","value","src","focus","classList","style","onchange","self","ev","args","e","apply","Array","push","onclick","onup","addEventListener","ondown","onmove","onevent","event","fun","append","DOMS","forEach","item","test","name","appendChild","remove","removeChilds","child","lastElementChild","removeChild","get","a","b","querySelector","getAll","querySelectorAll","switchState","_class","cn","undefined","contains","add","UID","Date","toString","Math","random","substr","prototypeDetails","summary","details","prototypeInputFile","htmlFor","className","type","prototypeCheckSwitch","input","prototypeDownload","filename","file","data","reg","format","match","replaceAll","toLowerCase","encodeURIComponent","element","setAttribute","display","body","click","setSelected","i","options","length","text","selected","lazyUpdate","uid","props","param","key","navigation","portrait","offline","window","location","protocol","currentTheme","localStorage","getItem","contentRoot","getContentRoot","globalRoot","getGlobalRoot","scrollSpy","localtoc","Map","currentLocaltoc","metaRepo","repo","content","split","initScrollSpy","getOSTheme","searchButton","title","searchArea","searchAreaBg","searchInput","select","changeTheme","removeItem","setItem","searchForm","href","rightHeader","relatedNext","relatedPrev","prepareLocaltocMap","lt","elem","textContent","set","entries","sort","getBoundingClientRect","y","substring","has","map","delete","handleResize","innerHeight","innerWidth","handleScroll","key_neg","key_pos","dist","dist_pos","Number","MAX_SAFE_INTEGER","dist_neg","MIN_SAFE_INTEGER","key_","clt_key","content_root","getAttribute","replace","console","warn","search","code","related","altKey","shiftKey","anchor","ctrlKey","keyUp","init","onresize","onscroll","dynamic","log","repotocTreeOverlay","repotocTreeSidebar","banner","resolveJSON","j","dynamicRepoToc","dynamicBanner","json","JSON","parse","unix_day","setHours","now","metadata","fetch","method","headers","then","response","ok","obj","stringify","catch","home","linksOverlay","linksSidebar","Object","base","value_","join","target","setState","items","state","matchMedia","matches","App","app"],"mappings":"oOAIA,MAAMA,EACJ,WAAAC,CAAaC,EAAKC,GAEhB,GADAC,KAAKC,EACa,iBAAPH,GAKX,GADAE,KAAKC,EAAIC,SAASC,cAAcL,GACb,iBAARC,EAAkB,IAAK,MAAMK,KAAOL,EACzCK,KAAOJ,KAAKC,EACdD,KAAKC,EAAEG,GAAOL,EAAKK,GAEnBJ,KAAKC,EAAEI,QAAQD,GAAOL,EAAKK,QAR7BJ,KAAKC,EAAIH,CAUZ,CAMD,SAAAQ,CAAWC,GAET,OADW,IAAIX,EAAII,KAAKC,EAAEK,UAAUC,GAErC,CAKD,aAAIC,CAAUC,GACZT,KAAKC,EAAEO,UAAYC,CACpB,CAID,aAAID,GACF,OAAOR,KAAKC,EAAEO,SACf,CAED,UAAIE,GACF,OAAOV,KAAKC,EAAEU,YACf,CAED,SAAIC,GACF,OAAOZ,KAAKC,EAAEY,WACf,CAED,MAAIC,GACF,OAAOd,KAAKC,EAAEa,EACf,CAED,MAAIA,CAAIL,GACNT,KAAKC,EAAEa,GAAIL,CACZ,CAED,SAAIM,GACF,OAAOf,KAAKC,EAAEc,KACf,CAED,SAAIA,CAAON,GACTT,KAAKC,EAAEc,MAAQN,CAChB,CAED,OAAIO,GACF,OAAOhB,KAAKC,EAAEe,GACf,CAED,OAAIA,CAAKP,GACPT,KAAKC,EAAEe,IAAMP,CACd,CAID,KAAAQ,GACEjB,KAAKC,EAAEgB,OACR,CAED,aAAIC,GACF,OAAOlB,KAAKC,EAAEiB,SACf,CAED,SAAIC,GACF,OAAOnB,KAAKC,EAAEkB,KACf,CAKD,QAAAC,CAAUC,EAAMC,EAAIC,GASpB,OAREvB,KAAKC,EAAEmB,SAAYI,SACE,IAARD,EACTD,EAAGG,MAAMJ,EAAM,CAACG,IACTD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVF,EAAGG,MAAMJ,EAAME,GAChB,EAEEvB,IACN,CAKD,OAAA4B,CAASP,EAAMC,EAAIC,GASnB,OAREvB,KAAKC,EAAE2B,QAAWJ,SACG,IAARD,EACTD,EAAGG,MAAMJ,EAAM,CAACG,IACTD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVF,EAAGG,MAAMJ,EAAME,GAChB,EAEEvB,IACN,CAKD,IAAA6B,CAAMR,EAAMC,EAAIC,GAShB,OAREvB,KAAKC,EAAE6B,iBAAiB,WAAYN,SACf,IAARD,EACTD,EAAGG,MAAMJ,EAAM,CAACG,IACTD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVF,EAAGG,MAAMJ,EAAME,GAChB,IAEEvB,IACN,CAKD,MAAA+B,CAAQV,EAAMC,EAAIC,GASlB,OAREvB,KAAKC,EAAE6B,iBAAiB,aAAcN,SACjB,IAARD,EACTD,EAAGG,MAAMJ,EAAM,CAACG,IACTD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVF,EAAGG,MAAMJ,EAAME,GAChB,IAEEvB,IACN,CAKD,MAAAgC,CAAQX,EAAMC,EAAIC,GASlB,OAREvB,KAAKC,EAAE6B,iBAAiB,aAAcN,SACjB,IAARD,EACTD,EAAGG,MAAMJ,EAAM,CAACG,IACTD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVF,EAAGG,MAAMJ,EAAME,GAChB,IAEEvB,IACN,CAOD,OAAAiC,CAASC,EAAOb,EAAMc,EAAKZ,GAS3B,OAREvB,KAAKC,EAAE6B,iBAAiBI,GAAQV,SACX,IAARD,EACTY,EAAIV,MAAMJ,EAAM,CAACG,IACVD,EAAK1B,aAAe6B,QAC3BH,EAAKI,KAAKH,GACVW,EAAIV,MAAMJ,EAAME,GACjB,IAEEvB,IACN,CAKD,MAAAoC,CAAQC,GAYN,OAXIA,EAAKxC,aAAe6B,QACtBW,EAAO,CAACA,IAEVA,EAAKC,SAAUC,IACT,kBAAkBC,KAAKD,EAAK1C,YAAY4C,MAC1CzC,KAAKC,EAAEyC,YAAYH,GACG,iBAARA,GACP,kBAAkBC,KAAKD,EAAKtC,EAAEJ,YAAY4C,OACjDzC,KAAKC,EAAEyC,YAAYH,EAAKtC,EAAE,IAGvBD,IACR,CAID,SACEA,KAAKC,EAAE0C,QAER,CAID,YAAAC,GACE,IAAIC,EAAQ7C,KAAKC,EAAE6C,iBACnB,KAAOD,GACL7C,KAAKC,EAAE8C,YAAYF,GACnBA,EAAQ7C,KAAKC,EAAE6C,iBAEjB,OAAO9C,IACR,CAMD,UAAOgD,CAAKC,EAAGC,GAEb,YAAoB,KADpBA,EAAIA,aAAatD,EAAMsD,EAAEjD,EAAIiD,GACMhD,SAASiD,cAAeF,GAAKC,EAAEC,cAAcF,EACjF,CAMD,aAAOG,CAAOH,EAAGC,GAEf,MAAoB,iBADpBA,EAAIA,aAAatD,EAAMsD,EAAEjD,EAAIiD,GACGA,EAAEG,iBAAiBJ,GAAKD,IAAIE,GAAGG,iBAAiBJ,EACjF,CAMD,kBAAOK,CAAaJ,EAAGK,GAErB,IAAIC,EAAeC,MAAVF,EAAsBA,EAAS,MADxCL,EAAIA,aAAatD,EAAMsD,EAAEjD,EAAIiD,GAEvBhC,UAAUwC,SAASF,GACvBN,EAAEhC,UAAUyB,OAAOa,GAEnBN,EAAEhC,UAAUyC,IAAIH,EACnB,CAID,UAAOI,GACL,QAAS,IAAIC,MAAMC,SAAS,IAAMC,KAAKC,SAASF,SAAS,IAAIG,OAAO,EACrE,CASD,uBAAOC,CAAkBzD,GACvB,IAAI0D,EAAU,IAAIvE,EAAI,UAAW,CAACY,UAAUC,EAAID,YAC5C4D,EAAU,IAAIxE,EAAI,UAAW,CAACkB,GAAGL,EAAIK,GAAI2B,KAAKhC,EAAIK,KACnDsB,OAAO+B,GAaV,OAXmBV,MAAfhD,EAAIwB,SACNxB,EAAIwB,QAAQK,SAAQJ,IAClBA,EAAMX,KAAKI,KAAKyC,EAAQnE,GACxBkE,EAAQlC,QACNC,EAAMA,MACNA,EAAMb,KACNa,EAAMC,IACND,EAAMX,KACP,IAGE6C,CACR,CAQD,yBAAOC,CAAoB5D,GACzB,OAAO,IAAIb,EAAI,QAAS,CACtB0E,QAAQ,GAAG7D,EAAIK,WACfA,GAAGL,EAAIK,GACPyD,UAAU9D,EAAI8D,UACd/D,UAAUC,EAAID,YACX4B,OACD,IAAIxC,EAAI,QAAS,CAACkB,GAAG,GAAGL,EAAIK,WAAY0D,KAAK,SAElD,CASD,2BAAOC,CAAsBhE,GAC3B,IAAIiE,EAAQ,IAAI9E,EAAI,QAAS,CAC3BkB,GAAGL,EAAIK,GACP2B,KAAKhC,EAAIK,GACTyD,UAAU,cACVC,KAAK,WACLzD,OAAM,IAkBR,MAAO,CAAC2D,EAfQ,IAAI9E,EAAI,MAAO,CAAC2E,UAAU9D,EAAI8D,YAC3CnC,OAAO,CACN,IAAIxC,EAAI,OACLwC,OAAO,CACN,IAAIxC,EAAI,QAAS,CACb2E,UAAU,cACVD,QAAQ7D,EAAIK,GACZN,UAAUC,EAAID,YACb4B,OAAO,CACRsC,EACA,IAAI9E,EAAI,cAMrB,CAMD,wBAAO+E,CAAmBC,EAAUC,GAClC,IAAIC,EACAC,EAAM,iCACV,IAAKA,EAAIvC,KAAKoC,GACZ,OAEF,IAAII,EAASJ,EAASK,MAAMF,GAAK,GAMjC,OALAH,EAAWA,EACRM,WAAW,IAAI,KACfA,WAAW,IAAI,KACfC,cAEKH,GACN,IAAK,MACHF,EAAO,wCAA0CM,mBAAmBP,GACpE,MACF,IAAK,KACHC,EAAO,kCAAoCM,mBAAmBP,GAC9D,MACF,IAAK,OACHC,EAAO,gCAAkCM,mBAAmBP,GAC5D,MACF,IAAK,MACHC,EAAO,+BAAiCM,mBAAmBP,GAC3D,MACF,IAAK,MACHC,EAAO,oCAAsCM,mBAAmBP,GAChE,MACF,IAAK,MACHC,EAAOD,EAGX,IAAIQ,EAAUnF,SAASC,cAAc,KACrCkF,EAAQC,aAAa,OAAQR,GAC7BO,EAAQC,aAAa,WAAYV,GACjCS,EAAQlE,MAAMoE,QAAU,OAExBrF,SAASsF,KAAK9C,YAAY2C,GAC1BA,EAAQI,QACRvF,SAASsF,KAAKzC,YAAYsC,EAC3B,CAMD,kBAAOK,CAAa5F,EAAKiB,GACvB,IAAK,IAAI4E,EAAI,EAAGA,EAAI7F,EAAIG,EAAE2F,QAAQC,OAAQF,IACxC,GAAI7F,EAAIG,EAAE2F,QAAQD,GAAGG,MAAQ/E,EAE3B,YADAjB,EAAIG,EAAE2F,QAAQD,GAAGI,UAAW,EAIjC,CAUD,iBAAOC,CAAYlG,EAAKmG,EAAKC,EAAOC,GAClCA,EAAiB1C,MAAT0C,EAAqB,YAAcA,EAC3C,IAAId,EAAUzF,EAAIoD,IAAI,cAAciD,MAASnG,GAC7C,IAAK,MAAMsG,KAAOF,EAChBtG,EAAIoD,IAAI,IAAIoD,IAAOf,GAASc,GAASD,EAAME,EAE9C,ECrBI,IAAIC,EAAa,IAxXxB,MACE,WAAAxG,GACEG,KAAKsG,UAAW,EAChBtG,KAAKuG,QAAU,SAAWC,OAAOC,SAASC,SAC1C1G,KAAK2G,aAAeC,aAAaC,QAAQ,SACzC7G,KAAK8G,YAAc9G,KAAK+G,iBACxB/G,KAAKgH,WAAahH,KAAKiH,gBACvBjH,KAAKkH,UAAY,CACfC,SAAU,IAAIC,IACdC,qBAAiB5D,GAGnB,IAAI6D,EAAWpH,SAASiD,cAAc,qBACtCnD,KAAKuH,KAAOD,EAAWA,EAASE,QAAQC,MAAM,KAAO,CAAC,IAEtD,IAAIxH,EAAID,KAAKC,EAAI,CAAE,EACnBA,EAAEuF,KAAO,IAAI5F,EAAIA,EAAIoD,IAAI,SACzB/C,EAAEuH,QAAU,IAAI5H,EAAIA,EAAIoD,IAAI,kBAC5B/C,EAAEkH,SAAW,IAAIvH,EAAIA,EAAIoD,IAAI,gCAC7BhD,KAAK0H,gBAEqB,OAAtB1H,KAAK2G,eACP3G,KAAK2G,aAAe3G,KAAK2H,cAC3B1H,EAAEuF,KAAKtE,UAAUyC,IAAI,SACjB3D,KAAK2G,eAAiB3G,KAAK2H,cAC7B1H,EAAEuF,KAAKtE,UAAUyC,IAAI3D,KAAK2G,cAE7B1G,EAAE2H,aAAe,IAAIhI,EAAI,SAAU,CAChCkB,GAAG,SACHyD,UAAU,OACVsD,MAAM,eACLjG,QAAQ5B,MAAM,KACfJ,EAAI0D,YAAYrD,EAAE6H,YAClBlI,EAAI0D,YAAYrD,EAAE8H,cAClB9H,EAAE+H,YAAY/G,QACdhB,EAAE+H,YAAY/H,EAAEgI,QAAQ,IAE3BhI,EAAEiI,YAAc,IAAItI,EAAI,SAAU,CAC/B2E,UAAiC,SAAtBvE,KAAK2G,aAA0B,UAAY,OACtD7F,GAAG,QACH+G,MAAM,iBACLjG,QAAQ5B,MAAM,KACfC,EAAEuF,KAAKtE,UAAUyB,OAAO3C,KAAK2G,cAC7B3G,KAAK2G,aAAqC,SAAtB3G,KAAK2G,aAA0B,QAAU,OACzD3G,KAAK2H,cAAgB3H,KAAK2G,aAC5BC,aAAauB,WAAW,UAExBvB,aAAawB,QAAQ,QAASpI,KAAK2G,cACnC1G,EAAEuF,KAAKtE,UAAUyC,IAAI3D,KAAK2G,cAC3B,IAGH1G,EAAE8H,aAAe,IAAInI,EAAI,MAAO,CAC9B2E,UAAU,mBACT3C,QAAQ5B,MAAM,KACfJ,EAAI0D,YAAYrD,EAAE6H,YAClBlI,EAAI0D,YAAYrD,EAAE8H,aAAa,IAEjC9H,EAAE6H,WAAa,IAAIlI,EAAIA,EAAIoD,IAAI,iBAC/B/C,EAAEoI,WAAa,IAAIzI,EAAIA,EAAIoD,IAAI,OAAQ/C,EAAE6H,aACzC7H,EAAE+H,YAAc,IAAIpI,EAAIA,EAAIoD,IAAI,QAAS/C,EAAEoI,aAC3CpI,EAAEoI,WAAWpI,EAAU,OAAIL,EAAIoD,IAAI,sBAAsBsF,KACzDrI,EAAEuF,KAAKpD,OAAO,CAACnC,EAAE8H,eAEjB9H,EAAEsI,YAAc,IAAI3I,EAAIA,EAAIoD,IAAI,+BAA+BZ,OAAO,CAACnC,EAAEiI,YAAajI,EAAE2H,eAExF3H,EAAEuI,YAAc5I,EAAIoD,IAAI,kBACxB/C,EAAEwI,YAAc7I,EAAIoD,IAAI,iBACzB,CAID,aAAA0E,GAC4B,OAAtB1H,KAAKC,EAAEkH,SAASlH,GAClBD,KAAK0I,oBAER,CAID,kBAAAA,GACE,IAAItC,EAAM,GACNuC,EAAK3I,KAAKkH,UAAUC,SACpBxB,EAAI,EACR/F,EAAIwD,OAAO,sBAAuBpD,KAAKC,EAAEkH,UAAU7E,SAASsG,IAC1DxC,EAAM,GAAGT,KAAKiD,EAAKC,cACnBF,EAAGG,IAAI1C,EAAK,CAACwC,OAAMnF,IACnBkC,GAAK,CAAC,IAGR,IAAIoD,EAAU,GACd,IAAK,IAAIpD,EAAI,EAAGA,EAAI,EAAGA,IACrBoD,EAAQpH,QAAQ/B,EAAIwD,OAAO,cAAcuC,IAAK3F,KAAKC,EAAEuH,UAGvDuB,EAAUA,EAAQC,MAAK,CAAC/F,EAAGC,IAAMD,EAAEgG,wBAAwBC,EAAIhG,EAAE+F,wBAAwBC,IACzFvD,EAAI,EACJoD,EAAQzG,SAASsG,IACfxC,EAAMwC,EAAKC,YACXzC,EAAM,GAAGT,KAAKS,EAAI+C,UAAU,EAAG/C,EAAIP,OAAO,KACtC8C,EAAGS,IAAIhD,KACTuC,EAAGG,IAAI1C,EAAK,CAACuC,EAAG3F,IAAIoD,GAAK,GAAIwC,IAC7BjD,GAAK,EACN,IAGHgD,EAAGrG,SAAQ,CAACvB,EAAOqF,EAAKiD,UACL5F,IAAb1C,EAAM,IACRsI,EAAIC,OAAOlD,EAAI,GAEpB,CAED,YAAAmD,GACEvJ,KAAKsG,SAAWE,OAAOgD,YAAchD,OAAOiD,UAC7C,CAED,YAAAC,GACE,GAA0B,OAAtB1J,KAAKC,EAAEkH,SAASlH,EAAY,CAE9B,IAAI0J,EAASC,EAASxD,EAAKyD,EACvBC,EAAWC,OAAOC,iBAClBC,EAAWF,OAAOG,iBAClBvB,EAAK3I,KAAKkH,UAAUC,SAoBxB,GAnBAwB,EAAGrG,SAAQ,CAACvB,EAAOoJ,EAAMd,KACvBQ,EAAO9I,EAAM,GAAGkI,wBAAwBC,EACpCW,GAAQ,EACNA,EAAOI,IACTA,EAAWJ,EACXF,EAAWQ,GAGTN,EAAOC,IACTA,EAAWD,EACXD,EAAWO,EAEd,IAGD/D,EADE0D,EAAW,GACPF,EAEAD,OAEIlG,IAAR2C,EAAmB,CACrB,IAAIgE,EAAUpK,KAAKkH,UAAUG,gBACzBjB,IAAQgE,IACVzB,EAAG3F,IAAIoD,GAAK,GAAGlF,UAAUyC,IAAI,gBACbF,IAAZ2G,GACFzB,EAAG3F,IAAIoH,GAAS,GAAGlJ,UAAUyB,OAAO,WAEtC3C,KAAKkH,UAAUG,gBAAkBjB,EAEpC,CACF,CACF,CAKD,cAAAW,GACE,IAAIsD,EACAvK,EAAM,IAAIF,EAAIA,EAAIoD,IAAI,iCAc1B,OAbc,OAAVlD,EAAIG,IACNoK,EAAevK,EAAIG,EAAEI,QAAkB,UACrBoD,MAAhB4G,IACFA,EAAezK,EAAIoD,IAAI,QAAQ3C,QAAsB,cACnCoD,MAAhB4G,IACFvK,EAAO,IAAIF,EAAIA,EAAIoD,IAAI,2BACT,OAAVlD,EAAIG,IACNoK,EAAevK,EAAIG,EAAEqK,aAAa,QAAQC,QAAQ,aAAc,MAEhD9G,MAAhB4G,IACFG,QAAQC,KAAK,+BACbJ,EAAe,IAEVA,CACR,CAID,aAAApD,GACE,OAAO/G,SAASiD,cAAc,4BAA4BqE,OAC3D,CAED,MAAAkD,CAAQlJ,GACQ,MAAVA,EAAE4E,KAAgBpG,KAAKC,EAAE6H,WAAW5G,UAAUwC,SAAS,MAKrC,WAAXlC,EAAEmJ,MACP3K,KAAKC,EAAE6H,WAAW5G,UAAUwC,SAAS,QACvC9D,EAAI0D,YAAYtD,KAAKC,EAAE6H,YACvBlI,EAAI0D,YAAYtD,KAAKC,EAAE8H,gBAPzBnI,EAAI0D,YAAYtD,KAAKC,EAAE6H,YACvBlI,EAAI0D,YAAYtD,KAAKC,EAAE8H,cACvB/H,KAAKC,EAAE+H,YAAY/G,QACnBjB,KAAKC,EAAE+H,YAAY/H,EAAEgI,SAOxB,CAED,OAAA2C,CAASpJ,GACP,IAAKA,EAAEqJ,SAAWrJ,EAAEsJ,SAClB,OAGF,IAAIC,EAAUvJ,EAAEwJ,SAAWvE,SAAS6B,KAAKb,MAAM,KAAK5B,OAAS,EAChD,IAAIY,SAAS6B,KAAKb,MAAM,KAAK,KAAO,GAEnC,aAAVjG,EAAEmJ,MAAuB3K,KAAKC,EAAEwI,YAClChC,SAAS6B,KAAOtI,KAAKC,EAAEwI,YAAYH,KAAOyC,EACzB,cAAVvJ,EAAEmJ,MAAwB3K,KAAKC,EAAEuI,cACxC/B,SAAS6B,KAAOtI,KAAKC,EAAEuI,YAAYF,KAAOyC,EAC7C,CAED,KAAAE,CAAOzJ,GACL,OAAQA,EAAE4E,KACR,IAAK,YACL,IAAK,aACHpG,KAAK4K,QAAQpJ,GACb,MACF,IAAK,IACHxB,KAAK0K,OAAOlJ,GAGD,WAAXA,EAAEmJ,MACJ3K,KAAK0K,OAAOlJ,EACf,CAID,IAAA0J,GACEC,SAAW,KAAOnL,KAAKuJ,gBACvB6B,SAAW,KAAOpL,KAAK0J,gBACvBxJ,SAAS4B,iBAAiB,SAAUN,IAAOxB,KAAKiL,MAAMzJ,EAAC,IAAI,GAC3DxB,KAAKqL,SACN,CAMD,OAAAA,GACE,GAAIrL,KAAKuG,QAEP,YADAiE,QAAQc,IAAI,kEAKd,IAAIrL,EAAID,KAAKC,EACbA,EAAEsL,mBAAqB,IAAI3L,EAAIA,EAAIoD,IAAI,+BACvC/C,EAAEuL,mBAAqB,IAAI5L,EAAIA,EAAIoD,IAAI,sCACvC/C,EAAEwL,OAAS,IAAI7L,EAAIA,EAAIoD,IAAI,YAE3B,IAAI0I,EAAeC,IACX,YAAaA,GACf3L,KAAK4L,eAAeD,EAAW,SAC7B,WAAYA,GACd3L,KAAK6L,cAAcF,EAAU,OAAE,EAIjCG,EAAOlF,aAAaC,QAAQ,YACnB,OAATiF,IACFA,EAAOC,KAAKC,MAAMF,IAEpB,IAAIG,EAAW,IAAIpI,KAAK,GAExB,GADAoI,EAASC,SAAS,IACL,OAATJ,GAAiBA,EAAgB,UAAIG,EAAWpI,KAAKsI,MAAO,CAC9D,IAAIC,EAAW,GAAGpM,KAAKgH,mCAEvBqF,MAAMD,EAAU,CACdE,OAAQ,MACRC,QAAS,CACP,eAAgB,sBAEjBC,MAAMC,IACP,IAAoB,IAAhBA,EAASC,GAIb,OAAOD,EAASX,MAAM,IACrBU,MAAMG,IACFA,IAGLjB,EAAYiB,GACZA,EAAe,UAAI9I,KAAKsI,MACxBvF,aAAawB,QAAQ,WAAY2D,KAAKa,UAAUD,IAAK,IACpDE,OAAOrL,IACR,GAER,MACMkK,EAAYI,EAEf,CAED,cAAAF,CAAgBe,GACd,IAAI1M,EAAID,KAAKC,EAET6M,EAAO,aACPC,EAAe,GACfC,EAAe,GACnB,IAAK,MAAO5G,EAAKrF,KAAUkM,OAAOlE,QAAQ4D,GAAM,CAC9C,KAAM,SAAU5L,GACd,SAEF,IAAImM,EAAO9G,GAAOpG,KAAKuH,KAAK,GACjBvH,KAAK8G,YACL,GAAG9G,KAAKgH,aAAaZ,KAChC,GAAI,UAAWrF,EACb,IAAK,MAAOoJ,EAAMgD,KAAWF,OAAOlE,QAAQhI,EAAa,OAAI,CAC3D,GAAuB,iBAAnB,EACF,SAEF,IAAIkC,EAAI,IAAIrD,EAAI,IAAK,CACnB0I,KAAQ,GAAG4E,IAAO/C,KAAQ2C,IAC1BvI,UAAavE,KAAKuH,KAAK6F,KAAK,OAAS,GAAGhH,KAAO+D,IAAS,UAAY,KAEtElH,EAAEzC,UAAY2M,EAEdH,EAAarL,KAAKsB,EACnB,MAED+J,EAAarL,KAAK,IAAI/B,EAAI,IAAK,CAC7B0I,KAAQ,GAAG4E,IAAOJ,IAClBvI,UAAavE,KAAKuH,KAAK,KAAOnB,EAAM,UAAY,GAChD5F,UAAaO,EAAY,OAG9B,CAEDiM,EAAa1K,SAASsG,IACpBmE,EAAapL,KAAKiH,EAAKtI,WAAU,GAAM,IAGrCL,EAAEsL,mBAAmBtL,IACvBA,EAAEsL,mBAAmB3I,eACrB3C,EAAEsL,mBAAmBnJ,OAAO2K,IAC1B9M,EAAEuL,mBAAmBvL,IACvBA,EAAEuL,mBAAmB5I,eACrB3C,EAAEuL,mBAAmBpJ,OAAO4K,GAC/B,CAED,aAAAnB,CAAec,GACb,IAAI1M,EAAID,KAAKC,EAET,QAAS0M,GACX1M,EAAEwL,OAAOrJ,OAAO,IAAIxC,EAAI,OAAQ,CAC9BY,UAAamM,EAAS,OAGtB,WAAYA,GAAO,WAAYA,GACjC1M,EAAEwL,OAAOrJ,OAAO,IAAIxC,EAAI,IAAK,CAC3B0I,KAAQqE,EAAY,OACpBnM,UAAamM,EAAY,OACzBU,OAAU,WAEf,CAKD,QAAAC,CAAUC,EAAOC,GACfD,EAAMjL,SAASsG,IACT4E,EACF5E,EAAK1H,UAAUyC,IAAI,MAEnBiF,EAAK1H,UAAUyB,OAAO,KACvB,GAEJ,CAID,UAAAgF,GACE,OAAOnB,OAAOiH,WAAW,gCAAgCC,QAAU,OAAS,OAC7E,GCxXY,SAASC,IACtBnH,OAAOoH,IAAM,CAAE,EAEfA,IAAIvH,WAAaA,EAEjBuH,IAAIvH,WAAW6E,MACjB,QAEAyC"} \ No newline at end of file diff --git a/main/_static/basic.css b/main/_static/basic.css new file mode 100644 index 000000000..cfc60b86c --- /dev/null +++ b/main/_static/basic.css @@ -0,0 +1,921 @@ +/* + * basic.css + * ~~~~~~~~~ + * + * Sphinx stylesheet -- basic theme. + * + * :copyright: Copyright 2007-2023 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/* -- main layout ----------------------------------------------------------- */ + +div.clearer { + clear: both; +} + +div.section::after { + display: block; + content: ''; + clear: left; +} + +/* -- relbar ---------------------------------------------------------------- */ + +div.related { + width: 100%; + font-size: 90%; +} + +div.related h3 { + display: none; +} + +div.related ul { + margin: 0; + padding: 0 0 0 10px; + list-style: none; +} + +div.related li { + display: inline; +} + +div.related li.right { + float: right; + margin-right: 5px; +} + +/* -- sidebar --------------------------------------------------------------- */ + +div.sphinxsidebarwrapper { + padding: 10px 5px 0 10px; +} + +div.sphinxsidebar { + float: left; + width: 230px; + margin-left: -100%; + font-size: 90%; + word-wrap: break-word; + overflow-wrap : break-word; +} + +div.sphinxsidebar ul { + list-style: none; +} + +div.sphinxsidebar ul ul, +div.sphinxsidebar ul.want-points { + margin-left: 20px; + list-style: square; +} + +div.sphinxsidebar ul ul { + margin-top: 0; + margin-bottom: 0; +} + +div.sphinxsidebar form { + margin-top: 10px; +} + +div.sphinxsidebar input { + border: 1px solid #98dbcc; + font-family: sans-serif; + font-size: 1em; +} + +div.sphinxsidebar #searchbox form.search { + overflow: hidden; +} + +div.sphinxsidebar #searchbox input[type="text"] { + float: left; + width: 80%; + padding: 0.25em; + box-sizing: border-box; +} + +div.sphinxsidebar #searchbox input[type="submit"] { + float: left; + width: 20%; + border-left: none; + padding: 0.25em; + box-sizing: border-box; +} + + +img { + border: 0; + max-width: 100%; +} + +/* -- search page ----------------------------------------------------------- */ + +ul.search { + margin: 10px 0 0 20px; + padding: 0; +} + +ul.search li { + padding: 5px 0 5px 20px; + background-image: url(file.png); + background-repeat: no-repeat; + background-position: 0 7px; +} + +ul.search li a { + font-weight: bold; +} + +ul.search li p.context { + color: #888; + margin: 2px 0 0 30px; + text-align: left; +} + +ul.keywordmatches li.goodmatch a { + font-weight: bold; +} + +/* -- index page ------------------------------------------------------------ */ + +table.contentstable { + width: 90%; + margin-left: auto; + margin-right: auto; +} + +table.contentstable p.biglink { + line-height: 150%; +} + +a.biglink { + font-size: 1.3em; +} + +span.linkdescr { + font-style: italic; + padding-top: 5px; + font-size: 90%; +} + +/* -- general index --------------------------------------------------------- */ + +table.indextable { + width: 100%; +} + +table.indextable td { + text-align: left; + vertical-align: top; +} + +table.indextable ul { + margin-top: 0; + margin-bottom: 0; + list-style-type: none; +} + +table.indextable > tbody > tr > td > ul { + padding-left: 0em; +} + +table.indextable tr.pcap { + height: 10px; +} + +table.indextable tr.cap { + margin-top: 10px; + background-color: #f2f2f2; +} + +img.toggler { + margin-right: 3px; + margin-top: 3px; + cursor: pointer; +} + +div.modindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +div.genindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +/* -- domain module index --------------------------------------------------- */ + +table.modindextable td { + padding: 2px; + border-collapse: collapse; +} + +/* -- general body styles --------------------------------------------------- */ + +div.body { + min-width: 360px; + max-width: 800px; +} + +div.body p, div.body dd, div.body li, div.body blockquote { + -moz-hyphens: auto; + -ms-hyphens: auto; + -webkit-hyphens: auto; + hyphens: auto; +} + +a.headerlink { + visibility: hidden; +} + +h1:hover > a.headerlink, +h2:hover > a.headerlink, +h3:hover > a.headerlink, +h4:hover > a.headerlink, +h5:hover > a.headerlink, +h6:hover > a.headerlink, +dt:hover > a.headerlink, +caption:hover > a.headerlink, +p.caption:hover > a.headerlink, +div.code-block-caption:hover > a.headerlink { + visibility: visible; +} + +div.body p.caption { + text-align: inherit; +} + +div.body td { + text-align: left; +} + +.first { + margin-top: 0 !important; +} + +p.rubric { + margin-top: 30px; + font-weight: bold; +} + +img.align-left, figure.align-left, .figure.align-left, object.align-left { + clear: left; + float: left; + margin-right: 1em; +} + +img.align-right, figure.align-right, .figure.align-right, object.align-right { + clear: right; + float: right; + margin-left: 1em; +} + +img.align-center, figure.align-center, .figure.align-center, object.align-center { + display: block; + margin-left: auto; + margin-right: auto; +} + +img.align-default, figure.align-default, .figure.align-default { + display: block; + margin-left: auto; + margin-right: auto; +} + +.align-left { + text-align: left; +} + +.align-center { + text-align: center; +} + +.align-default { + text-align: center; +} + +.align-right { + text-align: right; +} + +/* -- sidebars -------------------------------------------------------------- */ + +div.sidebar, +aside.sidebar { + margin: 0 0 0.5em 1em; + border: 1px solid #ddb; + padding: 7px; + background-color: #ffe; + width: 40%; + float: right; + clear: right; + overflow-x: auto; +} + +p.sidebar-title { + font-weight: bold; +} + +nav.contents, +aside.topic, +div.admonition, div.topic, blockquote { + clear: left; +} + +/* -- topics ---------------------------------------------------------------- */ + +nav.contents, +aside.topic, +div.topic { + border: 1px solid #ccc; + padding: 7px; + margin: 10px 0 10px 0; +} + +p.topic-title { + font-size: 1.1em; + font-weight: bold; + margin-top: 10px; +} + +/* -- admonitions ----------------------------------------------------------- */ + +div.admonition { + margin-top: 10px; + margin-bottom: 10px; + padding: 7px; +} + +div.admonition dt { + font-weight: bold; +} + +p.admonition-title { + margin: 0px 10px 5px 0px; + font-weight: bold; +} + +div.body p.centered { + text-align: center; + margin-top: 25px; +} + +/* -- content of sidebars/topics/admonitions -------------------------------- */ + +div.sidebar > :last-child, +aside.sidebar > :last-child, +nav.contents > :last-child, +aside.topic > :last-child, +div.topic > :last-child, +div.admonition > :last-child { + margin-bottom: 0; +} + +div.sidebar::after, +aside.sidebar::after, +nav.contents::after, +aside.topic::after, +div.topic::after, +div.admonition::after, +blockquote::after { + display: block; + content: ''; + clear: both; +} + +/* -- tables ---------------------------------------------------------------- */ + +table.docutils { + margin-top: 10px; + margin-bottom: 10px; + border: 0; + border-collapse: collapse; +} + +table.align-center { + margin-left: auto; + margin-right: auto; +} + +table.align-default { + margin-left: auto; + margin-right: auto; +} + +table caption span.caption-number { + font-style: italic; +} + +table caption span.caption-text { +} + +table.docutils td, table.docutils th { + padding: 1px 8px 1px 5px; + border-top: 0; + border-left: 0; + border-right: 0; + border-bottom: 1px solid #aaa; +} + +th { + text-align: left; + padding-right: 5px; +} + +table.citation { + border-left: solid 1px gray; + margin-left: 1px; +} + +table.citation td { + border-bottom: none; +} + +th > :first-child, +td > :first-child { + margin-top: 0px; +} + +th > :last-child, +td > :last-child { + margin-bottom: 0px; +} + +/* -- figures --------------------------------------------------------------- */ + +div.figure, figure { + margin: 0.5em; + padding: 0.5em; +} + +div.figure p.caption, figcaption { + padding: 0.3em; +} + +div.figure p.caption span.caption-number, +figcaption span.caption-number { + font-style: italic; +} + +div.figure p.caption span.caption-text, +figcaption span.caption-text { +} + +/* -- field list styles ----------------------------------------------------- */ + +table.field-list td, table.field-list th { + border: 0 !important; +} + +.field-list ul { + margin: 0; + padding-left: 1em; +} + +.field-list p { + margin: 0; +} + +.field-name { + -moz-hyphens: manual; + -ms-hyphens: manual; + -webkit-hyphens: manual; + hyphens: manual; +} + +/* -- hlist styles ---------------------------------------------------------- */ + +table.hlist { + margin: 1em 0; +} + +table.hlist td { + vertical-align: top; +} + +/* -- object description styles --------------------------------------------- */ + +.sig { + font-family: 'Consolas', 'Menlo', 'DejaVu Sans Mono', 'Bitstream Vera Sans Mono', monospace; +} + +.sig-name, code.descname { + background-color: transparent; + font-weight: bold; +} + +.sig-name { + font-size: 1.1em; +} + +code.descname { + font-size: 1.2em; +} + +.sig-prename, code.descclassname { + background-color: transparent; +} + +.optional { + font-size: 1.3em; +} + +.sig-paren { + font-size: larger; +} + +.sig-param.n { + font-style: italic; +} + +/* C++ specific styling */ + +.sig-inline.c-texpr, +.sig-inline.cpp-texpr { + font-family: unset; +} + +.sig.c .k, .sig.c .kt, +.sig.cpp .k, .sig.cpp .kt { + color: #0033B3; +} + +.sig.c .m, +.sig.cpp .m { + color: #1750EB; +} + +.sig.c .s, .sig.c .sc, +.sig.cpp .s, .sig.cpp .sc { + color: #067D17; +} + + +/* -- other body styles ----------------------------------------------------- */ + +ol.arabic { + list-style: decimal; +} + +ol.loweralpha { + list-style: lower-alpha; +} + +ol.upperalpha { + list-style: upper-alpha; +} + +ol.lowerroman { + list-style: lower-roman; +} + +ol.upperroman { + list-style: upper-roman; +} + +:not(li) > ol > li:first-child > :first-child, +:not(li) > ul > li:first-child > :first-child { + margin-top: 0px; +} + +:not(li) > ol > li:last-child > :last-child, +:not(li) > ul > li:last-child > :last-child { + margin-bottom: 0px; +} + +ol.simple ol p, +ol.simple ul p, +ul.simple ol p, +ul.simple ul p { + margin-top: 0; +} + +ol.simple > li:not(:first-child) > p, +ul.simple > li:not(:first-child) > p { + margin-top: 0; +} + +ol.simple p, +ul.simple p { + margin-bottom: 0; +} + +aside.footnote > span, +div.citation > span { + float: left; +} +aside.footnote > span:last-of-type, +div.citation > span:last-of-type { + padding-right: 0.5em; +} +aside.footnote > p { + margin-left: 2em; +} +div.citation > p { + margin-left: 4em; +} +aside.footnote > p:last-of-type, +div.citation > p:last-of-type { + margin-bottom: 0em; +} +aside.footnote > p:last-of-type:after, +div.citation > p:last-of-type:after { + content: ""; + clear: both; +} + +dl.field-list { + display: grid; + grid-template-columns: fit-content(30%) auto; +} + +dl.field-list > dt { + font-weight: bold; + word-break: break-word; + padding-left: 0.5em; + padding-right: 5px; +} + +dl.field-list > dd { + padding-left: 0.5em; + margin-top: 0em; + margin-left: 0em; + margin-bottom: 0em; +} + +dl { + margin-bottom: 15px; +} + +dd > :first-child { + margin-top: 0px; +} + +dd ul, dd table { + margin-bottom: 10px; +} + +dd { + margin-top: 3px; + margin-bottom: 10px; + margin-left: 30px; +} + +.sig dd { + margin-top: 0px; + margin-bottom: 0px; +} + +.sig dl { + margin-top: 0px; + margin-bottom: 0px; +} + +dl > dd:last-child, +dl > dd:last-child > :last-child { + margin-bottom: 0; +} + +dt:target, span.highlighted { + background-color: #fbe54e; +} + +rect.highlighted { + fill: #fbe54e; +} + +dl.glossary dt { + font-weight: bold; + font-size: 1.1em; +} + +.versionmodified { + font-style: italic; +} + +.system-message { + background-color: #fda; + padding: 5px; + border: 3px solid red; +} + +.footnote:target { + background-color: #ffa; +} + +.line-block { + display: block; + margin-top: 1em; + margin-bottom: 1em; +} + +.line-block .line-block { + margin-top: 0; + margin-bottom: 0; + margin-left: 1.5em; +} + +.guilabel, .menuselection { + font-family: sans-serif; +} + +.accelerator { + text-decoration: underline; +} + +.classifier { + font-style: oblique; +} + +.classifier:before { + font-style: normal; + margin: 0 0.5em; + content: ":"; + display: inline-block; +} + +abbr, acronym { + border-bottom: dotted 1px; + cursor: help; +} + +.translated { + background-color: rgba(207, 255, 207, 0.2) +} + +.untranslated { + background-color: rgba(255, 207, 207, 0.2) +} + +/* -- code displays --------------------------------------------------------- */ + +pre { + overflow: auto; + overflow-y: hidden; /* fixes display issues on Chrome browsers */ +} + +pre, div[class*="highlight-"] { + clear: both; +} + +span.pre { + -moz-hyphens: none; + -ms-hyphens: none; + -webkit-hyphens: none; + hyphens: none; + white-space: nowrap; +} + +div[class*="highlight-"] { + margin: 1em 0; +} + +td.linenos pre { + border: 0; + background-color: transparent; + color: #aaa; +} + +table.highlighttable { + display: block; +} + +table.highlighttable tbody { + display: block; +} + +table.highlighttable tr { + display: flex; +} + +table.highlighttable td { + margin: 0; + padding: 0; +} + +table.highlighttable td.linenos { + padding-right: 0.5em; +} + +table.highlighttable td.code { + flex: 1; + overflow: hidden; +} + +.highlight .hll { + display: block; +} + +div.highlight pre, +table.highlighttable pre { + margin: 0; +} + +div.code-block-caption + div { + margin-top: 0; +} + +div.code-block-caption { + margin-top: 1em; + padding: 2px 5px; + font-size: small; +} + +div.code-block-caption code { + background-color: transparent; +} + +table.highlighttable td.linenos, +span.linenos, +div.highlight span.gp { /* gp: Generic.Prompt */ + user-select: none; + -webkit-user-select: text; /* Safari fallback only */ + -webkit-user-select: none; /* Chrome/Safari */ + -moz-user-select: none; /* Firefox */ + -ms-user-select: none; /* IE10+ */ +} + +div.code-block-caption span.caption-number { + padding: 0.1em 0.3em; + font-style: italic; +} + +div.code-block-caption span.caption-text { +} + +div.literal-block-wrapper { + margin: 1em 0; +} + +code.xref, a code { + background-color: transparent; + font-weight: bold; +} + +h1 code, h2 code, h3 code, h4 code, h5 code, h6 code { + background-color: transparent; +} + +.viewcode-link { + float: right; +} + +.viewcode-back { + float: right; + font-family: sans-serif; +} + +div.viewcode-block:target { + margin: -1px -10px; + padding: 0 10px; +} + +/* -- math display ---------------------------------------------------------- */ + +img.math { + vertical-align: middle; +} + +div.body div.math p { + text-align: center; +} + +span.eqno { + float: right; +} + +span.eqno a.headerlink { + position: absolute; + z-index: 1; +} + +div.math:hover a.headerlink { + visibility: visible; +} + +/* -- printout stylesheet --------------------------------------------------- */ + +@media print { + div.document, + div.documentwrapper, + div.bodywrapper { + margin: 0 !important; + width: 100%; + } + + div.sphinxsidebar, + div.related, + div.footer, + #top-link { + display: none; + } +} \ No newline at end of file diff --git a/main/_static/css/style.css b/main/_static/css/style.css new file mode 100644 index 000000000..8e5240a25 --- /dev/null +++ b/main/_static/css/style.css @@ -0,0 +1,7 @@ +#indexlogo img, #badges img { + background: none; +} + +#badges img { + display: inline-block; +} diff --git a/main/_static/doctools.js b/main/_static/doctools.js new file mode 100644 index 000000000..d06a71d75 --- /dev/null +++ b/main/_static/doctools.js @@ -0,0 +1,156 @@ +/* + * doctools.js + * ~~~~~~~~~~~ + * + * Base JavaScript utilities for all Sphinx HTML documentation. + * + * :copyright: Copyright 2007-2023 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ +"use strict"; + +const BLACKLISTED_KEY_CONTROL_ELEMENTS = new Set([ + "TEXTAREA", + "INPUT", + "SELECT", + "BUTTON", +]); + +const _ready = (callback) => { + if (document.readyState !== "loading") { + callback(); + } else { + document.addEventListener("DOMContentLoaded", callback); + } +}; + +/** + * Small JavaScript module for the documentation. + */ +const Documentation = { + init: () => { + Documentation.initDomainIndexTable(); + Documentation.initOnKeyListeners(); + }, + + /** + * i18n support + */ + TRANSLATIONS: {}, + PLURAL_EXPR: (n) => (n === 1 ? 0 : 1), + LOCALE: "unknown", + + // gettext and ngettext don't access this so that the functions + // can safely bound to a different name (_ = Documentation.gettext) + gettext: (string) => { + const translated = Documentation.TRANSLATIONS[string]; + switch (typeof translated) { + case "undefined": + return string; // no translation + case "string": + return translated; // translation exists + default: + return translated[0]; // (singular, plural) translation tuple exists + } + }, + + ngettext: (singular, plural, n) => { + const translated = Documentation.TRANSLATIONS[singular]; + if (typeof translated !== "undefined") + return translated[Documentation.PLURAL_EXPR(n)]; + return n === 1 ? singular : plural; + }, + + addTranslations: (catalog) => { + Object.assign(Documentation.TRANSLATIONS, catalog.messages); + Documentation.PLURAL_EXPR = new Function( + "n", + `return (${catalog.plural_expr})` + ); + Documentation.LOCALE = catalog.locale; + }, + + /** + * helper function to focus on search bar + */ + focusSearchBar: () => { + document.querySelectorAll("input[name=q]")[0]?.focus(); + }, + + /** + * Initialise the domain index toggle buttons + */ + initDomainIndexTable: () => { + const toggler = (el) => { + const idNumber = el.id.substr(7); + const toggledRows = document.querySelectorAll(`tr.cg-${idNumber}`); + if (el.src.substr(-9) === "minus.png") { + el.src = `${el.src.substr(0, el.src.length - 9)}plus.png`; + toggledRows.forEach((el) => (el.style.display = "none")); + } else { + el.src = `${el.src.substr(0, el.src.length - 8)}minus.png`; + toggledRows.forEach((el) => (el.style.display = "")); + } + }; + + const togglerElements = document.querySelectorAll("img.toggler"); + togglerElements.forEach((el) => + el.addEventListener("click", (event) => toggler(event.currentTarget)) + ); + togglerElements.forEach((el) => (el.style.display = "")); + if (DOCUMENTATION_OPTIONS.COLLAPSE_INDEX) togglerElements.forEach(toggler); + }, + + initOnKeyListeners: () => { + // only install a listener if it is really needed + if ( + !DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS && + !DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS + ) + return; + + document.addEventListener("keydown", (event) => { + // bail for input elements + if (BLACKLISTED_KEY_CONTROL_ELEMENTS.has(document.activeElement.tagName)) return; + // bail with special keys + if (event.altKey || event.ctrlKey || event.metaKey) return; + + if (!event.shiftKey) { + switch (event.key) { + case "ArrowLeft": + if (!DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) break; + + const prevLink = document.querySelector('link[rel="prev"]'); + if (prevLink && prevLink.href) { + window.location.href = prevLink.href; + event.preventDefault(); + } + break; + case "ArrowRight": + if (!DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) break; + + const nextLink = document.querySelector('link[rel="next"]'); + if (nextLink && nextLink.href) { + window.location.href = nextLink.href; + event.preventDefault(); + } + break; + } + } + + // some keyboard layouts may need Shift to get / + switch (event.key) { + case "/": + if (!DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS) break; + Documentation.focusSearchBar(); + event.preventDefault(); + } + }); + }, +}; + +// quick alias for translations +const _ = Documentation.gettext; + +_ready(Documentation.init); diff --git a/main/_static/documentation_options.js b/main/_static/documentation_options.js new file mode 100644 index 000000000..a1c61bb74 --- /dev/null +++ b/main/_static/documentation_options.js @@ -0,0 +1,14 @@ +var DOCUMENTATION_OPTIONS = { + URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), + VERSION: '0.0.19', + LANGUAGE: 'en', + COLLAPSE_INDEX: false, + BUILDER: 'html', + FILE_SUFFIX: '.html', + LINK_SUFFIX: '.html', + HAS_SOURCE: true, + SOURCELINK_SUFFIX: '.txt', + NAVIGATION_WITH_KEYS: false, + SHOW_SEARCH_SUMMARY: true, + ENABLE_SEARCH_SHORTCUTS: true, +}; \ No newline at end of file diff --git a/main/_static/esd-warning.svg b/main/_static/esd-warning.svg new file mode 100644 index 000000000..23db4a6ab --- /dev/null +++ b/main/_static/esd-warning.svg @@ -0,0 +1,30 @@ + + + + + + + image/svg+xml + + + + + + + + ATTENTION + OBSERVE PRECAUTIONSFOR HANDLING + ELECTROSTATICSENSITIVE DEVICES + + + + + + + + + + + + + diff --git a/main/_static/favicon.png b/main/_static/favicon.png new file mode 100644 index 000000000..e18d7b019 Binary files /dev/null and b/main/_static/favicon.png differ diff --git a/main/_static/file.png b/main/_static/file.png new file mode 100644 index 000000000..a858a410e Binary files /dev/null and b/main/_static/file.png differ diff --git a/main/_static/fonts/barlow/Barlow-Medium.woff2 b/main/_static/fonts/barlow/Barlow-Medium.woff2 new file mode 100644 index 000000000..73a451364 Binary files /dev/null and b/main/_static/fonts/barlow/Barlow-Medium.woff2 differ diff --git a/main/_static/fonts/barlow/Barlow-SemiBold.woff2 b/main/_static/fonts/barlow/Barlow-SemiBold.woff2 new file mode 100644 index 000000000..45cdf94e7 Binary files /dev/null and b/main/_static/fonts/barlow/Barlow-SemiBold.woff2 differ diff --git a/main/_static/fonts/barlow/OFL.txt b/main/_static/fonts/barlow/OFL.txt new file mode 100644 index 000000000..2f22ba6ca --- /dev/null +++ b/main/_static/fonts/barlow/OFL.txt @@ -0,0 +1,93 @@ +Copyright 2017 The Barlow Project Authors (https://github.com/jpt/barlow) + +This Font Software is licensed under the SIL Open Font License, Version 1.1. +This license is copied below, and is also available with a FAQ at: +http://scripts.sil.org/OFL + + +----------------------------------------------------------- +SIL OPEN FONT LICENSE Version 1.1 - 26 February 2007 +----------------------------------------------------------- + +PREAMBLE +The goals of the Open Font License (OFL) are to stimulate worldwide +development of collaborative font projects, to support the font creation +efforts of academic and linguistic communities, and to provide a free and +open framework in which fonts may be shared and improved in partnership +with others. + +The OFL allows the licensed fonts to be used, studied, modified and +redistributed freely as long as they are not sold by themselves. The +fonts, including any derivative works, can be bundled, embedded, +redistributed and/or sold with any software provided that any reserved +names are not used by derivative works. The fonts and derivatives, +however, cannot be released under any other type of license. The +requirement for fonts to remain under this license does not apply +to any document created using the fonts or their derivatives. + +DEFINITIONS +"Font Software" refers to the set of files released by the Copyright +Holder(s) under this license and clearly marked as such. This may +include source files, build scripts and documentation. + +"Reserved Font Name" refers to any names specified as such after the +copyright statement(s). + +"Original Version" refers to the collection of Font Software components as +distributed by the Copyright Holder(s). + +"Modified Version" refers to any derivative made by adding to, deleting, +or substituting -- in part or in whole -- any of the components of the +Original Version, by changing formats or by porting the Font Software to a +new environment. + +"Author" refers to any designer, engineer, programmer, technical +writer or other person who contributed to the Font Software. + +PERMISSION & CONDITIONS +Permission is hereby granted, free of charge, to any person obtaining +a copy of the Font Software, to use, study, copy, merge, embed, modify, +redistribute, and sell modified and unmodified copies of the Font +Software, subject to the following conditions: + +1) Neither the Font Software nor any of its individual components, +in Original or Modified Versions, may be sold by itself. + +2) Original or Modified Versions of the Font Software may be bundled, +redistributed and/or sold with any software, provided that each copy +contains the above copyright notice and this license. These can be +included either as stand-alone text files, human-readable headers or +in the appropriate machine-readable metadata fields within text or +binary files as long as those fields can be easily viewed by the user. + +3) No Modified Version of the Font Software may use the Reserved Font +Name(s) unless explicit written permission is granted by the corresponding +Copyright Holder. This restriction only applies to the primary font name as +presented to the users. + +4) The name(s) of the Copyright Holder(s) or the Author(s) of the Font +Software shall not be used to promote, endorse or advertise any +Modified Version, except to acknowledge the contribution(s) of the +Copyright Holder(s) and the Author(s) or with their explicit written +permission. + +5) The Font Software, modified or unmodified, in part or in whole, +must be distributed entirely under this license, and must not be +distributed under any other license. The requirement for fonts to +remain under this license does not apply to any document created +using the Font Software. + +TERMINATION +This license becomes null and void if any of the above conditions are +not met. + +DISCLAIMER +THE FONT SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OF +MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT +OF COPYRIGHT, PATENT, TRADEMARK, OR OTHER RIGHT. IN NO EVENT SHALL THE +COPYRIGHT HOLDER BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, +INCLUDING ANY GENERAL, SPECIAL, INDIRECT, INCIDENTAL, OR CONSEQUENTIAL +DAMAGES, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING +FROM, OUT OF THE USE OR INABILITY TO USE THE FONT SOFTWARE OR FROM +OTHER DEALINGS IN THE FONT SOFTWARE. diff --git a/main/_static/fonts/inter/Inter-Regular.woff2 b/main/_static/fonts/inter/Inter-Regular.woff2 new file mode 100644 index 000000000..b8699af29 Binary files /dev/null and b/main/_static/fonts/inter/Inter-Regular.woff2 differ diff --git a/main/_static/fonts/inter/Inter-SemiBold.woff2 b/main/_static/fonts/inter/Inter-SemiBold.woff2 new file mode 100644 index 000000000..95c48b184 Binary files /dev/null and b/main/_static/fonts/inter/Inter-SemiBold.woff2 differ diff --git a/main/_static/fonts/inter/LICENSE.txt b/main/_static/fonts/inter/LICENSE.txt new file mode 100644 index 000000000..9b2ca37b3 --- /dev/null +++ b/main/_static/fonts/inter/LICENSE.txt @@ -0,0 +1,92 @@ +Copyright (c) 2016 The Inter Project Authors (https://github.com/rsms/inter) + +This Font Software is licensed under the SIL Open Font License, Version 1.1. +This license is copied below, and is also available with a FAQ at: +http://scripts.sil.org/OFL + +----------------------------------------------------------- +SIL OPEN FONT LICENSE Version 1.1 - 26 February 2007 +----------------------------------------------------------- + +PREAMBLE +The goals of the Open Font License (OFL) are to stimulate worldwide +development of collaborative font projects, to support the font creation +efforts of academic and linguistic communities, and to provide a free and +open framework in which fonts may be shared and improved in partnership +with others. + +The OFL allows the licensed fonts to be used, studied, modified and +redistributed freely as long as they are not sold by themselves. The +fonts, including any derivative works, can be bundled, embedded, +redistributed and/or sold with any software provided that any reserved +names are not used by derivative works. The fonts and derivatives, +however, cannot be released under any other type of license. The +requirement for fonts to remain under this license does not apply +to any document created using the fonts or their derivatives. + +DEFINITIONS +"Font Software" refers to the set of files released by the Copyright +Holder(s) under this license and clearly marked as such. This may +include source files, build scripts and documentation. + +"Reserved Font Name" refers to any names specified as such after the +copyright statement(s). + +"Original Version" refers to the collection of Font Software components as +distributed by the Copyright Holder(s). + +"Modified Version" refers to any derivative made by adding to, deleting, +or substituting -- in part or in whole -- any of the components of the +Original Version, by changing formats or by porting the Font Software to a +new environment. + +"Author" refers to any designer, engineer, programmer, technical +writer or other person who contributed to the Font Software. + +PERMISSION AND CONDITIONS +Permission is hereby granted, free of charge, to any person obtaining +a copy of the Font Software, to use, study, copy, merge, embed, modify, +redistribute, and sell modified and unmodified copies of the Font +Software, subject to the following conditions: + +1) Neither the Font Software nor any of its individual components, +in Original or Modified Versions, may be sold by itself. + +2) Original or Modified Versions of the Font Software may be bundled, +redistributed and/or sold with any software, provided that each copy +contains the above copyright notice and this license. These can be +included either as stand-alone text files, human-readable headers or +in the appropriate machine-readable metadata fields within text or +binary files as long as those fields can be easily viewed by the user. + +3) No Modified Version of the Font Software may use the Reserved Font +Name(s) unless explicit written permission is granted by the corresponding +Copyright Holder. This restriction only applies to the primary font name as +presented to the users. + +4) The name(s) of the Copyright Holder(s) or the Author(s) of the Font +Software shall not be used to promote, endorse or advertise any +Modified Version, except to acknowledge the contribution(s) of the +Copyright Holder(s) and the Author(s) or with their explicit written +permission. + +5) The Font Software, modified or unmodified, in part or in whole, +must be distributed entirely under this license, and must not be +distributed under any other license. The requirement for fonts to +remain under this license does not apply to any document created +using the Font Software. + +TERMINATION +This license becomes null and void if any of the above conditions are +not met. + +DISCLAIMER +THE FONT SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OF +MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT +OF COPYRIGHT, PATENT, TRADEMARK, OR OTHER RIGHT. IN NO EVENT SHALL THE +COPYRIGHT HOLDER BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, +INCLUDING ANY GENERAL, SPECIAL, INDIRECT, INCIDENTAL, OR CONSEQUENTIAL +DAMAGES, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING +FROM, OUT OF THE USE OR INABILITY TO USE THE FONT SOFTWARE OR FROM +OTHER DEALINGS IN THE FONT SOFTWARE. diff --git a/main/_static/icons.svg b/main/_static/icons.svg new file mode 100644 index 000000000..d00fcdc7a --- /dev/null +++ b/main/_static/icons.svg @@ -0,0 +1,122 @@ + + + +image/svg+xml diff --git a/main/_static/language_data.js b/main/_static/language_data.js new file mode 100644 index 000000000..250f5665f --- /dev/null +++ b/main/_static/language_data.js @@ -0,0 +1,199 @@ +/* + * language_data.js + * ~~~~~~~~~~~~~~~~ + * + * This script contains the language-specific data used by searchtools.js, + * namely the list of stopwords, stemmer, scorer and splitter. + * + * :copyright: Copyright 2007-2023 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +var stopwords = ["a", "and", "are", "as", "at", "be", "but", "by", "for", "if", "in", "into", "is", "it", "near", "no", "not", "of", "on", "or", "such", "that", "the", "their", "then", "there", "these", "they", "this", "to", "was", "will", "with"]; + + +/* Non-minified version is copied as a separate JS file, is available */ + +/** + * Porter Stemmer + */ +var Stemmer = function() { + + var step2list = { + ational: 'ate', + tional: 'tion', + enci: 'ence', + anci: 'ance', + izer: 'ize', + bli: 'ble', + alli: 'al', + entli: 'ent', + eli: 'e', + ousli: 'ous', + ization: 'ize', + ation: 'ate', + ator: 'ate', + alism: 'al', + iveness: 'ive', + fulness: 'ful', + ousness: 'ous', + aliti: 'al', + iviti: 'ive', + biliti: 'ble', + logi: 'log' + }; + + var step3list = { + icate: 'ic', + ative: '', + alize: 'al', + iciti: 'ic', + ical: 'ic', + ful: '', + ness: '' + }; + + var c = "[^aeiou]"; // consonant + var v = "[aeiouy]"; // vowel + var C = c + "[^aeiouy]*"; // consonant sequence + var V = v + "[aeiou]*"; // vowel sequence + + var mgr0 = "^(" + C + ")?" + V + C; // [C]VC... is m>0 + var meq1 = "^(" + C + ")?" + V + C + "(" + V + ")?$"; // [C]VC[V] is m=1 + var mgr1 = "^(" + C + ")?" + V + C + V + C; // [C]VCVC... is m>1 + var s_v = "^(" + C + ")?" + v; // vowel in stem + + this.stemWord = function (w) { + var stem; + var suffix; + var firstch; + var origword = w; + + if (w.length < 3) + return w; + + var re; + var re2; + var re3; + var re4; + + firstch = w.substr(0,1); + if (firstch == "y") + w = firstch.toUpperCase() + w.substr(1); + + // Step 1a + re = /^(.+?)(ss|i)es$/; + re2 = /^(.+?)([^s])s$/; + + if (re.test(w)) + w = w.replace(re,"$1$2"); + else if (re2.test(w)) + w = w.replace(re2,"$1$2"); + + // Step 1b + re = /^(.+?)eed$/; + re2 = /^(.+?)(ed|ing)$/; + if (re.test(w)) { + var fp = re.exec(w); + re = new RegExp(mgr0); + if (re.test(fp[1])) { + re = /.$/; + w = w.replace(re,""); + } + } + else if (re2.test(w)) { + var fp = re2.exec(w); + stem = fp[1]; + re2 = new RegExp(s_v); + if (re2.test(stem)) { + w = stem; + re2 = /(at|bl|iz)$/; + re3 = new RegExp("([^aeiouylsz])\\1$"); + re4 = new RegExp("^" + C + v + "[^aeiouwxy]$"); + if (re2.test(w)) + w = w + "e"; + else if (re3.test(w)) { + re = /.$/; + w = w.replace(re,""); + } + else if (re4.test(w)) + w = w + "e"; + } + } + + // Step 1c + re = /^(.+?)y$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(s_v); + if (re.test(stem)) + w = stem + "i"; + } + + // Step 2 + re = /^(.+?)(ational|tional|enci|anci|izer|bli|alli|entli|eli|ousli|ization|ation|ator|alism|iveness|fulness|ousness|aliti|iviti|biliti|logi)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + suffix = fp[2]; + re = new RegExp(mgr0); + if (re.test(stem)) + w = stem + step2list[suffix]; + } + + // Step 3 + re = /^(.+?)(icate|ative|alize|iciti|ical|ful|ness)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + suffix = fp[2]; + re = new RegExp(mgr0); + if (re.test(stem)) + w = stem + step3list[suffix]; + } + + // Step 4 + re = /^(.+?)(al|ance|ence|er|ic|able|ible|ant|ement|ment|ent|ou|ism|ate|iti|ous|ive|ize)$/; + re2 = /^(.+?)(s|t)(ion)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(mgr1); + if (re.test(stem)) + w = stem; + } + else if (re2.test(w)) { + var fp = re2.exec(w); + stem = fp[1] + fp[2]; + re2 = new RegExp(mgr1); + if (re2.test(stem)) + w = stem; + } + + // Step 5 + re = /^(.+?)e$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(mgr1); + re2 = new RegExp(meq1); + re3 = new RegExp("^" + C + v + "[^aeiouwxy]$"); + if (re.test(stem) || (re2.test(stem) && !(re3.test(stem)))) + w = stem; + } + re = /ll$/; + re2 = new RegExp(mgr1); + if (re.test(w) && re2.test(w)) { + re = /.$/; + w = w.replace(re,""); + } + + // and turn initial Y back to y + if (firstch == "y") + w = firstch.toLowerCase() + w.substr(1); + return w; + } +} + diff --git a/main/_static/logo-org.svg b/main/_static/logo-org.svg new file mode 100644 index 000000000..fa8a1508b --- /dev/null +++ b/main/_static/logo-org.svg @@ -0,0 +1,77 @@ + + + + + + + + + + + + + + + + + + diff --git a/main/_static/logos/PyADI-IIO_Logo_300.png b/main/_static/logos/PyADI-IIO_Logo_300.png new file mode 100644 index 000000000..36cfe7a91 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_300.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_300_cropped.png b/main/_static/logos/PyADI-IIO_Logo_300_cropped.png new file mode 100644 index 000000000..6a9aafea3 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_300_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_600.png b/main/_static/logos/PyADI-IIO_Logo_600.png new file mode 100644 index 000000000..3a8eb9df5 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_600.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_600_cropped.png b/main/_static/logos/PyADI-IIO_Logo_600_cropped.png new file mode 100644 index 000000000..ca71d0677 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_600_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_72.png b/main/_static/logos/PyADI-IIO_Logo_72.png new file mode 100644 index 000000000..2bb3cdbf6 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_72.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_72_cropped.png b/main/_static/logos/PyADI-IIO_Logo_72_cropped.png new file mode 100644 index 000000000..ca1dd9298 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_72_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_300.png b/main/_static/logos/PyADI-IIO_Logo_g_300.png new file mode 100644 index 000000000..708df7290 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_300.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_300_cropped.png b/main/_static/logos/PyADI-IIO_Logo_g_300_cropped.png new file mode 100644 index 000000000..6dbac7ad0 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_300_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_600.png b/main/_static/logos/PyADI-IIO_Logo_g_600.png new file mode 100644 index 000000000..d83d3adbb Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_600.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_600_cropped.png b/main/_static/logos/PyADI-IIO_Logo_g_600_cropped.png new file mode 100644 index 000000000..972fb260c Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_600_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_72.png b/main/_static/logos/PyADI-IIO_Logo_g_72.png new file mode 100644 index 000000000..ca4348788 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_72.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_g_72_cropped.png b/main/_static/logos/PyADI-IIO_Logo_g_72_cropped.png new file mode 100644 index 000000000..c7bcf4a0c Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_g_72_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_300.png b/main/_static/logos/PyADI-IIO_Logo_w_300.png new file mode 100644 index 000000000..fee1a8431 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_300.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_300_cropped.png b/main/_static/logos/PyADI-IIO_Logo_w_300_cropped.png new file mode 100644 index 000000000..efdd70e4e Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_300_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_600.png b/main/_static/logos/PyADI-IIO_Logo_w_600.png new file mode 100644 index 000000000..b493e65f9 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_600.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_600_cropped.png b/main/_static/logos/PyADI-IIO_Logo_w_600_cropped.png new file mode 100644 index 000000000..7594e728a Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_600_cropped.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_72.png b/main/_static/logos/PyADI-IIO_Logo_w_72.png new file mode 100644 index 000000000..ba0a5f9ab Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_72.png differ diff --git a/main/_static/logos/PyADI-IIO_Logo_w_72_cropped.png b/main/_static/logos/PyADI-IIO_Logo_w_72_cropped.png new file mode 100644 index 000000000..c38352333 Binary files /dev/null and b/main/_static/logos/PyADI-IIO_Logo_w_72_cropped.png differ diff --git a/main/_static/minus.png b/main/_static/minus.png new file mode 100644 index 000000000..d96755fda Binary files /dev/null and b/main/_static/minus.png differ diff --git a/main/_static/plus.png b/main/_static/plus.png new file mode 100644 index 000000000..7107cec93 Binary files /dev/null and b/main/_static/plus.png differ diff --git a/main/_static/pygments.css b/main/_static/pygments.css new file mode 100644 index 000000000..ee92c2c66 --- /dev/null +++ b/main/_static/pygments.css @@ -0,0 +1,324 @@ +@media (prefers-color-scheme: light) { +body:not(.dark) .highlight pre { line-height: 125%; } +body:not(.dark) .highlight td.linenos .normal { color: #666666; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body:not(.dark) .highlight span.linenos { color: #666666; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body:not(.dark) .highlight td.linenos .special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body:not(.dark) .highlight span.linenos.special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body:not(.dark) .highlight .hll { background-color: #ffffcc } +body:not(.dark) .highlight { background: #f0f0f0; } +body:not(.dark) .highlight .c { color: #60a0b0; font-style: italic } /* Comment */ +body:not(.dark) .highlight .err { border: 1px solid #FF0000 } /* Error */ +body:not(.dark) .highlight .k { color: #007020; font-weight: bold } /* Keyword */ +body:not(.dark) .highlight .o { color: #666666 } /* Operator */ +body:not(.dark) .highlight .ch { color: #60a0b0; font-style: italic } /* Comment.Hashbang */ +body:not(.dark) .highlight .cm { color: #60a0b0; font-style: italic } /* Comment.Multiline */ +body:not(.dark) .highlight .cp { color: #007020 } /* Comment.Preproc */ +body:not(.dark) .highlight .cpf { color: #60a0b0; font-style: italic } /* Comment.PreprocFile */ +body:not(.dark) .highlight .c1 { color: #60a0b0; font-style: italic } /* Comment.Single */ +body:not(.dark) .highlight .cs { color: #60a0b0; background-color: #fff0f0 } /* Comment.Special */ +body:not(.dark) .highlight .gd { color: #A00000 } /* Generic.Deleted */ +body:not(.dark) .highlight .ge { font-style: italic } /* Generic.Emph */ +body:not(.dark) .highlight .ges { font-weight: bold; font-style: italic } /* Generic.EmphStrong */ +body:not(.dark) .highlight .gr { color: #FF0000 } /* Generic.Error */ +body:not(.dark) .highlight .gh { color: #000080; font-weight: bold } /* Generic.Heading */ +body:not(.dark) .highlight .gi { color: #00A000 } /* Generic.Inserted */ +body:not(.dark) .highlight .go { color: #888888 } /* Generic.Output */ +body:not(.dark) .highlight .gp { color: #c65d09; font-weight: bold } /* Generic.Prompt */ +body:not(.dark) .highlight .gs { font-weight: bold } /* Generic.Strong */ +body:not(.dark) .highlight .gu { color: #800080; font-weight: bold } /* Generic.Subheading */ +body:not(.dark) .highlight .gt { color: #0044DD } /* Generic.Traceback */ +body:not(.dark) .highlight .kc { color: #007020; font-weight: bold } /* Keyword.Constant */ +body:not(.dark) .highlight .kd { color: #007020; font-weight: bold } /* Keyword.Declaration */ +body:not(.dark) .highlight .kn { color: #007020; font-weight: bold } /* Keyword.Namespace */ +body:not(.dark) .highlight .kp { color: #007020 } /* Keyword.Pseudo */ +body:not(.dark) .highlight .kr { color: #007020; font-weight: bold } /* Keyword.Reserved */ +body:not(.dark) .highlight .kt { color: #902000 } /* Keyword.Type */ +body:not(.dark) .highlight .m { color: #40a070 } /* Literal.Number */ +body:not(.dark) .highlight .s { color: #4070a0 } /* Literal.String */ +body:not(.dark) .highlight .na { color: #4070a0 } /* Name.Attribute */ +body:not(.dark) .highlight .nb { color: #007020 } /* Name.Builtin */ +body:not(.dark) .highlight .nc { color: #0e84b5; font-weight: bold } /* Name.Class */ +body:not(.dark) .highlight .no { color: #60add5 } /* Name.Constant */ +body:not(.dark) .highlight .nd { color: #555555; font-weight: bold } /* Name.Decorator */ +body:not(.dark) .highlight .ni { color: #d55537; font-weight: bold } /* Name.Entity */ +body:not(.dark) .highlight .ne { color: #007020 } /* Name.Exception */ +body:not(.dark) .highlight .nf { color: #06287e } /* Name.Function */ +body:not(.dark) .highlight .nl { color: #002070; font-weight: bold } /* Name.Label */ +body:not(.dark) .highlight .nn { color: #0e84b5; font-weight: bold } /* Name.Namespace */ +body:not(.dark) .highlight .nt { color: #062873; font-weight: bold } /* Name.Tag */ +body:not(.dark) .highlight .nv { color: #bb60d5 } /* Name.Variable */ +body:not(.dark) .highlight .ow { color: #007020; font-weight: bold } /* Operator.Word */ +body:not(.dark) .highlight .w { color: #bbbbbb } /* Text.Whitespace */ +body:not(.dark) .highlight .mb { color: #40a070 } /* Literal.Number.Bin */ +body:not(.dark) .highlight .mf { color: #40a070 } /* Literal.Number.Float */ +body:not(.dark) .highlight .mh { color: #40a070 } /* Literal.Number.Hex */ +body:not(.dark) .highlight .mi { color: #40a070 } /* Literal.Number.Integer */ +body:not(.dark) .highlight .mo { color: #40a070 } /* Literal.Number.Oct */ +body:not(.dark) .highlight .sa { color: #4070a0 } /* Literal.String.Affix */ +body:not(.dark) .highlight .sb { color: #4070a0 } /* Literal.String.Backtick */ +body:not(.dark) .highlight .sc { color: #4070a0 } /* Literal.String.Char */ +body:not(.dark) .highlight .dl { color: #4070a0 } /* Literal.String.Delimiter */ +body:not(.dark) .highlight .sd { color: #4070a0; font-style: italic } /* Literal.String.Doc */ +body:not(.dark) .highlight .s2 { color: #4070a0 } /* Literal.String.Double */ +body:not(.dark) .highlight .se { color: #4070a0; font-weight: bold } /* Literal.String.Escape */ +body:not(.dark) .highlight .sh { color: #4070a0 } /* Literal.String.Heredoc */ +body:not(.dark) .highlight .si { color: #70a0d0; font-style: italic } /* Literal.String.Interpol */ +body:not(.dark) .highlight .sx { color: #c65d09 } /* Literal.String.Other */ +body:not(.dark) .highlight .sr { color: #235388 } /* Literal.String.Regex */ +body:not(.dark) .highlight .s1 { color: #4070a0 } /* Literal.String.Single */ +body:not(.dark) .highlight .ss { color: #517918 } /* Literal.String.Symbol */ +body:not(.dark) .highlight .bp { color: #007020 } /* Name.Builtin.Pseudo */ +body:not(.dark) .highlight .fm { color: #06287e } /* Name.Function.Magic */ +body:not(.dark) .highlight .vc { color: #bb60d5 } /* Name.Variable.Class */ +body:not(.dark) .highlight .vg { color: #bb60d5 } /* Name.Variable.Global */ +body:not(.dark) .highlight .vi { color: #bb60d5 } /* Name.Variable.Instance */ +body:not(.dark) .highlight .vm { color: #bb60d5 } /* Name.Variable.Magic */ +body:not(.dark) .highlight .il { color: #40a070 } /* Literal.Number.Integer.Long */ +body.dark .highlight pre { line-height: 125%; } +body.dark .highlight td.linenos .normal { color: #aaaaaa; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body.dark .highlight span.linenos { color: #aaaaaa; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body.dark .highlight td.linenos .special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body.dark .highlight span.linenos.special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body.dark .highlight .hll { background-color: #404040 } +body.dark .highlight { background: #202020; color: #d0d0d0 } +body.dark .highlight .c { color: #ababab; font-style: italic } /* Comment */ +body.dark .highlight .err { color: #a61717; background-color: #e3d2d2 } /* Error */ +body.dark .highlight .esc { color: #d0d0d0 } /* Escape */ +body.dark .highlight .g { color: #d0d0d0 } /* Generic */ +body.dark .highlight .k { color: #6ebf26; font-weight: bold } /* Keyword */ +body.dark .highlight .l { color: #d0d0d0 } /* Literal */ +body.dark .highlight .n { color: #d0d0d0 } /* Name */ +body.dark .highlight .o { color: #d0d0d0 } /* Operator */ +body.dark .highlight .x { color: #d0d0d0 } /* Other */ +body.dark .highlight .p { color: #d0d0d0 } /* Punctuation */ +body.dark .highlight .ch { color: #ababab; font-style: italic } /* Comment.Hashbang */ +body.dark .highlight .cm { color: #ababab; font-style: italic } /* Comment.Multiline */ +body.dark .highlight .cp { color: #ff3a3a; font-weight: bold } /* Comment.Preproc */ +body.dark .highlight .cpf { color: #ababab; font-style: italic } /* Comment.PreprocFile */ +body.dark .highlight .c1 { color: #ababab; font-style: italic } /* Comment.Single */ +body.dark .highlight .cs { color: #e50808; font-weight: bold; background-color: #520000 } /* Comment.Special */ +body.dark .highlight .gd { color: #ff3a3a } /* Generic.Deleted */ +body.dark .highlight .ge { color: #d0d0d0; font-style: italic } /* Generic.Emph */ +body.dark .highlight .ges { color: #d0d0d0; font-weight: bold; font-style: italic } /* Generic.EmphStrong */ +body.dark .highlight .gr { color: #ff3a3a } /* Generic.Error */ +body.dark .highlight .gh { color: #ffffff; font-weight: bold } /* Generic.Heading */ +body.dark .highlight .gi { color: #589819 } /* Generic.Inserted */ +body.dark .highlight .go { color: #cccccc } /* Generic.Output */ +body.dark .highlight .gp { color: #aaaaaa } /* Generic.Prompt */ +body.dark .highlight .gs { color: #d0d0d0; font-weight: bold } /* Generic.Strong */ +body.dark .highlight .gu { color: #ffffff; text-decoration: underline } /* Generic.Subheading */ +body.dark .highlight .gt { color: #ff3a3a } /* Generic.Traceback */ +body.dark .highlight .kc { color: #6ebf26; font-weight: bold } /* Keyword.Constant */ +body.dark .highlight .kd { color: #6ebf26; font-weight: bold } /* Keyword.Declaration */ +body.dark .highlight .kn { color: #6ebf26; font-weight: bold } /* Keyword.Namespace */ +body.dark .highlight .kp { color: #6ebf26 } /* Keyword.Pseudo */ +body.dark .highlight .kr { color: #6ebf26; font-weight: bold } /* Keyword.Reserved */ +body.dark .highlight .kt { color: #6ebf26; font-weight: bold } /* Keyword.Type */ +body.dark .highlight .ld { color: #d0d0d0 } /* Literal.Date */ +body.dark .highlight .m { color: #51b2fd } /* Literal.Number */ +body.dark .highlight .s { color: #ed9d13 } /* Literal.String */ +body.dark .highlight .na { color: #bbbbbb } /* Name.Attribute */ +body.dark .highlight .nb { color: #2fbccd } /* Name.Builtin */ +body.dark .highlight .nc { color: #71adff; text-decoration: underline } /* Name.Class */ +body.dark .highlight .no { color: #40ffff } /* Name.Constant */ +body.dark .highlight .nd { color: #ffa500 } /* Name.Decorator */ +body.dark .highlight .ni { color: #d0d0d0 } /* Name.Entity */ +body.dark .highlight .ne { color: #bbbbbb } /* Name.Exception */ +body.dark .highlight .nf { color: #71adff } /* Name.Function */ +body.dark .highlight .nl { color: #d0d0d0 } /* Name.Label */ +body.dark .highlight .nn { color: #71adff; text-decoration: underline } /* Name.Namespace */ +body.dark .highlight .nx { color: #d0d0d0 } /* Name.Other */ +body.dark .highlight .py { color: #d0d0d0 } /* Name.Property */ +body.dark .highlight .nt { color: #6ebf26; font-weight: bold } /* Name.Tag */ +body.dark .highlight .nv { color: #40ffff } /* Name.Variable */ +body.dark .highlight .ow { color: #6ebf26; font-weight: bold } /* Operator.Word */ +body.dark .highlight .pm { color: #d0d0d0 } /* Punctuation.Marker */ +body.dark .highlight .w { color: #666666 } /* Text.Whitespace */ +body.dark .highlight .mb { color: #51b2fd } /* Literal.Number.Bin */ +body.dark .highlight .mf { color: #51b2fd } /* Literal.Number.Float */ +body.dark .highlight .mh { color: #51b2fd } /* Literal.Number.Hex */ +body.dark .highlight .mi { color: #51b2fd } /* Literal.Number.Integer */ +body.dark .highlight .mo { color: #51b2fd } /* Literal.Number.Oct */ +body.dark .highlight .sa { color: #ed9d13 } /* Literal.String.Affix */ +body.dark .highlight .sb { color: #ed9d13 } /* Literal.String.Backtick */ +body.dark .highlight .sc { color: #ed9d13 } /* Literal.String.Char */ +body.dark .highlight .dl { color: #ed9d13 } /* Literal.String.Delimiter */ +body.dark .highlight .sd { color: #ed9d13 } /* Literal.String.Doc */ +body.dark .highlight .s2 { color: #ed9d13 } /* Literal.String.Double */ +body.dark .highlight .se { color: #ed9d13 } /* Literal.String.Escape */ +body.dark .highlight .sh { color: #ed9d13 } /* Literal.String.Heredoc */ +body.dark .highlight .si { color: #ed9d13 } /* Literal.String.Interpol */ +body.dark .highlight .sx { color: #ffa500 } /* Literal.String.Other */ +body.dark .highlight .sr { color: #ed9d13 } /* Literal.String.Regex */ +body.dark .highlight .s1 { color: #ed9d13 } /* Literal.String.Single */ +body.dark .highlight .ss { color: #ed9d13 } /* Literal.String.Symbol */ +body.dark .highlight .bp { color: #2fbccd } /* Name.Builtin.Pseudo */ +body.dark .highlight .fm { color: #71adff } /* Name.Function.Magic */ +body.dark .highlight .vc { color: #40ffff } /* Name.Variable.Class */ +body.dark .highlight .vg { color: #40ffff } /* Name.Variable.Global */ +body.dark .highlight .vi { color: #40ffff } /* Name.Variable.Instance */ +body.dark .highlight .vm { color: #40ffff } /* Name.Variable.Magic */ +body.dark .highlight .il { color: #51b2fd } /* Literal.Number.Integer.Long */ +} +@media (prefers-color-scheme: dark) { +body.light .highlight pre { line-height: 125%; } +body.light .highlight td.linenos .normal { color: #666666; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body.light .highlight span.linenos { color: #666666; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body.light .highlight td.linenos .special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body.light .highlight span.linenos.special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body.light .highlight .hll { background-color: #ffffcc } +body.light .highlight { background: #f0f0f0; } +body.light .highlight .c { color: #60a0b0; font-style: italic } /* Comment */ +body.light .highlight .err { border: 1px solid #FF0000 } /* Error */ +body.light .highlight .k { color: #007020; font-weight: bold } /* Keyword */ +body.light .highlight .o { color: #666666 } /* Operator */ +body.light .highlight .ch { color: #60a0b0; font-style: italic } /* Comment.Hashbang */ +body.light .highlight .cm { color: #60a0b0; font-style: italic } /* Comment.Multiline */ +body.light .highlight .cp { color: #007020 } /* Comment.Preproc */ +body.light .highlight .cpf { color: #60a0b0; font-style: italic } /* Comment.PreprocFile */ +body.light .highlight .c1 { color: #60a0b0; font-style: italic } /* Comment.Single */ +body.light .highlight .cs { color: #60a0b0; background-color: #fff0f0 } /* Comment.Special */ +body.light .highlight .gd { color: #A00000 } /* Generic.Deleted */ +body.light .highlight .ge { font-style: italic } /* Generic.Emph */ +body.light .highlight .ges { font-weight: bold; font-style: italic } /* Generic.EmphStrong */ +body.light .highlight .gr { color: #FF0000 } /* Generic.Error */ +body.light .highlight .gh { color: #000080; font-weight: bold } /* Generic.Heading */ +body.light .highlight .gi { color: #00A000 } /* Generic.Inserted */ +body.light .highlight .go { color: #888888 } /* Generic.Output */ +body.light .highlight .gp { color: #c65d09; font-weight: bold } /* Generic.Prompt */ +body.light .highlight .gs { font-weight: bold } /* Generic.Strong */ +body.light .highlight .gu { color: #800080; font-weight: bold } /* Generic.Subheading */ +body.light .highlight .gt { color: #0044DD } /* Generic.Traceback */ +body.light .highlight .kc { color: #007020; font-weight: bold } /* Keyword.Constant */ +body.light .highlight .kd { color: #007020; font-weight: bold } /* Keyword.Declaration */ +body.light .highlight .kn { color: #007020; font-weight: bold } /* Keyword.Namespace */ +body.light .highlight .kp { color: #007020 } /* Keyword.Pseudo */ +body.light .highlight .kr { color: #007020; font-weight: bold } /* Keyword.Reserved */ +body.light .highlight .kt { color: #902000 } /* Keyword.Type */ +body.light .highlight .m { color: #40a070 } /* Literal.Number */ +body.light .highlight .s { color: #4070a0 } /* Literal.String */ +body.light .highlight .na { color: #4070a0 } /* Name.Attribute */ +body.light .highlight .nb { color: #007020 } /* Name.Builtin */ +body.light .highlight .nc { color: #0e84b5; font-weight: bold } /* Name.Class */ +body.light .highlight .no { color: #60add5 } /* Name.Constant */ +body.light .highlight .nd { color: #555555; font-weight: bold } /* Name.Decorator */ +body.light .highlight .ni { color: #d55537; font-weight: bold } /* Name.Entity */ +body.light .highlight .ne { color: #007020 } /* Name.Exception */ +body.light .highlight .nf { color: #06287e } /* Name.Function */ +body.light .highlight .nl { color: #002070; font-weight: bold } /* Name.Label */ +body.light .highlight .nn { color: #0e84b5; font-weight: bold } /* Name.Namespace */ +body.light .highlight .nt { color: #062873; font-weight: bold } /* Name.Tag */ +body.light .highlight .nv { color: #bb60d5 } /* Name.Variable */ +body.light .highlight .ow { color: #007020; font-weight: bold } /* Operator.Word */ +body.light .highlight .w { color: #bbbbbb } /* Text.Whitespace */ +body.light .highlight .mb { color: #40a070 } /* Literal.Number.Bin */ +body.light .highlight .mf { color: #40a070 } /* Literal.Number.Float */ +body.light .highlight .mh { color: #40a070 } /* Literal.Number.Hex */ +body.light .highlight .mi { color: #40a070 } /* Literal.Number.Integer */ +body.light .highlight .mo { color: #40a070 } /* Literal.Number.Oct */ +body.light .highlight .sa { color: #4070a0 } /* Literal.String.Affix */ +body.light .highlight .sb { color: #4070a0 } /* Literal.String.Backtick */ +body.light .highlight .sc { color: #4070a0 } /* Literal.String.Char */ +body.light .highlight .dl { color: #4070a0 } /* Literal.String.Delimiter */ +body.light .highlight .sd { color: #4070a0; font-style: italic } /* Literal.String.Doc */ +body.light .highlight .s2 { color: #4070a0 } /* Literal.String.Double */ +body.light .highlight .se { color: #4070a0; font-weight: bold } /* Literal.String.Escape */ +body.light .highlight .sh { color: #4070a0 } /* Literal.String.Heredoc */ +body.light .highlight .si { color: #70a0d0; font-style: italic } /* Literal.String.Interpol */ +body.light .highlight .sx { color: #c65d09 } /* Literal.String.Other */ +body.light .highlight .sr { color: #235388 } /* Literal.String.Regex */ +body.light .highlight .s1 { color: #4070a0 } /* Literal.String.Single */ +body.light .highlight .ss { color: #517918 } /* Literal.String.Symbol */ +body.light .highlight .bp { color: #007020 } /* Name.Builtin.Pseudo */ +body.light .highlight .fm { color: #06287e } /* Name.Function.Magic */ +body.light .highlight .vc { color: #bb60d5 } /* Name.Variable.Class */ +body.light .highlight .vg { color: #bb60d5 } /* Name.Variable.Global */ +body.light .highlight .vi { color: #bb60d5 } /* Name.Variable.Instance */ +body.light .highlight .vm { color: #bb60d5 } /* Name.Variable.Magic */ +body.light .highlight .il { color: #40a070 } /* Literal.Number.Integer.Long */ +body:not(.light) .highlight pre { line-height: 125%; } +body:not(.light) .highlight td.linenos .normal { color: #aaaaaa; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body:not(.light) .highlight span.linenos { color: #aaaaaa; background-color: transparent; padding-left: 5px; padding-right: 5px; } +body:not(.light) .highlight td.linenos .special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body:not(.light) .highlight span.linenos.special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +body:not(.light) .highlight .hll { background-color: #404040 } +body:not(.light) .highlight { background: #202020; color: #d0d0d0 } +body:not(.light) .highlight .c { color: #ababab; font-style: italic } /* Comment */ +body:not(.light) .highlight .err { color: #a61717; background-color: #e3d2d2 } /* Error */ +body:not(.light) .highlight .esc { color: #d0d0d0 } /* Escape */ +body:not(.light) .highlight .g { color: #d0d0d0 } /* Generic */ +body:not(.light) .highlight .k { color: #6ebf26; font-weight: bold } /* Keyword */ +body:not(.light) .highlight .l { color: #d0d0d0 } /* Literal */ +body:not(.light) .highlight .n { color: #d0d0d0 } /* Name */ +body:not(.light) .highlight .o { color: #d0d0d0 } /* Operator */ +body:not(.light) .highlight .x { color: #d0d0d0 } /* Other */ +body:not(.light) .highlight .p { color: #d0d0d0 } /* Punctuation */ +body:not(.light) .highlight .ch { color: #ababab; font-style: italic } /* Comment.Hashbang */ +body:not(.light) .highlight .cm { color: #ababab; font-style: italic } /* Comment.Multiline */ +body:not(.light) .highlight .cp { color: #ff3a3a; font-weight: bold } /* Comment.Preproc */ +body:not(.light) .highlight .cpf { color: #ababab; font-style: italic } /* Comment.PreprocFile */ +body:not(.light) .highlight .c1 { color: #ababab; font-style: italic } /* Comment.Single */ +body:not(.light) .highlight .cs { color: #e50808; font-weight: bold; background-color: #520000 } /* Comment.Special */ +body:not(.light) .highlight .gd { color: #ff3a3a } /* Generic.Deleted */ +body:not(.light) .highlight .ge { color: #d0d0d0; font-style: italic } /* Generic.Emph */ +body:not(.light) .highlight .ges { color: #d0d0d0; font-weight: bold; font-style: italic } /* Generic.EmphStrong */ +body:not(.light) .highlight .gr { color: #ff3a3a } /* Generic.Error */ +body:not(.light) .highlight .gh { color: #ffffff; font-weight: bold } /* Generic.Heading */ +body:not(.light) .highlight .gi { color: #589819 } /* Generic.Inserted */ +body:not(.light) .highlight .go { color: #cccccc } /* Generic.Output */ +body:not(.light) .highlight .gp { color: #aaaaaa } /* Generic.Prompt */ +body:not(.light) .highlight .gs { color: #d0d0d0; font-weight: bold } /* Generic.Strong */ +body:not(.light) .highlight .gu { color: #ffffff; text-decoration: underline } /* Generic.Subheading */ +body:not(.light) .highlight .gt { color: #ff3a3a } /* Generic.Traceback */ +body:not(.light) .highlight .kc { color: #6ebf26; font-weight: bold } /* Keyword.Constant */ +body:not(.light) .highlight .kd { color: #6ebf26; font-weight: bold } /* Keyword.Declaration */ +body:not(.light) .highlight .kn { color: #6ebf26; font-weight: bold } /* Keyword.Namespace */ +body:not(.light) .highlight .kp { color: #6ebf26 } /* Keyword.Pseudo */ +body:not(.light) .highlight .kr { color: #6ebf26; font-weight: bold } /* Keyword.Reserved */ +body:not(.light) .highlight .kt { color: #6ebf26; font-weight: bold } /* Keyword.Type */ +body:not(.light) .highlight .ld { color: #d0d0d0 } /* Literal.Date */ +body:not(.light) .highlight .m { color: #51b2fd } /* Literal.Number */ +body:not(.light) .highlight .s { color: #ed9d13 } /* Literal.String */ +body:not(.light) .highlight .na { color: #bbbbbb } /* Name.Attribute */ +body:not(.light) .highlight .nb { color: #2fbccd } /* Name.Builtin */ +body:not(.light) .highlight .nc { color: #71adff; text-decoration: underline } /* Name.Class */ +body:not(.light) .highlight .no { color: #40ffff } /* Name.Constant */ +body:not(.light) .highlight .nd { color: #ffa500 } /* Name.Decorator */ +body:not(.light) .highlight .ni { color: #d0d0d0 } /* Name.Entity */ +body:not(.light) .highlight .ne { color: #bbbbbb } /* Name.Exception */ +body:not(.light) .highlight .nf { color: #71adff } /* Name.Function */ +body:not(.light) .highlight .nl { color: #d0d0d0 } /* Name.Label */ +body:not(.light) .highlight .nn { color: #71adff; text-decoration: underline } /* Name.Namespace */ +body:not(.light) .highlight .nx { color: #d0d0d0 } /* Name.Other */ +body:not(.light) .highlight .py { color: #d0d0d0 } /* Name.Property */ +body:not(.light) .highlight .nt { color: #6ebf26; font-weight: bold } /* Name.Tag */ +body:not(.light) .highlight .nv { color: #40ffff } /* Name.Variable */ +body:not(.light) .highlight .ow { color: #6ebf26; font-weight: bold } /* Operator.Word */ +body:not(.light) .highlight .pm { color: #d0d0d0 } /* Punctuation.Marker */ +body:not(.light) .highlight .w { color: #666666 } /* Text.Whitespace */ +body:not(.light) .highlight .mb { color: #51b2fd } /* Literal.Number.Bin */ +body:not(.light) .highlight .mf { color: #51b2fd } /* Literal.Number.Float */ +body:not(.light) .highlight .mh { color: #51b2fd } /* Literal.Number.Hex */ +body:not(.light) .highlight .mi { color: #51b2fd } /* Literal.Number.Integer */ +body:not(.light) .highlight .mo { color: #51b2fd } /* Literal.Number.Oct */ +body:not(.light) .highlight .sa { color: #ed9d13 } /* Literal.String.Affix */ +body:not(.light) .highlight .sb { color: #ed9d13 } /* Literal.String.Backtick */ +body:not(.light) .highlight .sc { color: #ed9d13 } /* Literal.String.Char */ +body:not(.light) .highlight .dl { color: #ed9d13 } /* Literal.String.Delimiter */ +body:not(.light) .highlight .sd { color: #ed9d13 } /* Literal.String.Doc */ +body:not(.light) .highlight .s2 { color: #ed9d13 } /* Literal.String.Double */ +body:not(.light) .highlight .se { color: #ed9d13 } /* Literal.String.Escape */ +body:not(.light) .highlight .sh { color: #ed9d13 } /* Literal.String.Heredoc */ +body:not(.light) .highlight .si { color: #ed9d13 } /* Literal.String.Interpol */ +body:not(.light) .highlight .sx { color: #ffa500 } /* Literal.String.Other */ +body:not(.light) .highlight .sr { color: #ed9d13 } /* Literal.String.Regex */ +body:not(.light) .highlight .s1 { color: #ed9d13 } /* Literal.String.Single */ +body:not(.light) .highlight .ss { color: #ed9d13 } /* Literal.String.Symbol */ +body:not(.light) .highlight .bp { color: #2fbccd } /* Name.Builtin.Pseudo */ +body:not(.light) .highlight .fm { color: #71adff } /* Name.Function.Magic */ +body:not(.light) .highlight .vc { color: #40ffff } /* Name.Variable.Class */ +body:not(.light) .highlight .vg { color: #40ffff } /* Name.Variable.Global */ +body:not(.light) .highlight .vi { color: #40ffff } /* Name.Variable.Instance */ +body:not(.light) .highlight .vm { color: #40ffff } /* Name.Variable.Magic */ +body:not(.light) .highlight .il { color: #51b2fd } /* Literal.Number.Integer.Long */ +} \ No newline at end of file diff --git a/main/_static/searchtools.js b/main/_static/searchtools.js new file mode 100644 index 000000000..97d56a74d --- /dev/null +++ b/main/_static/searchtools.js @@ -0,0 +1,566 @@ +/* + * searchtools.js + * ~~~~~~~~~~~~~~~~ + * + * Sphinx JavaScript utilities for the full-text search. + * + * :copyright: Copyright 2007-2023 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ +"use strict"; + +/** + * Simple result scoring code. + */ +if (typeof Scorer === "undefined") { + var Scorer = { + // Implement the following function to further tweak the score for each result + // The function takes a result array [docname, title, anchor, descr, score, filename] + // and returns the new score. + /* + score: result => { + const [docname, title, anchor, descr, score, filename] = result + return score + }, + */ + + // query matches the full name of an object + objNameMatch: 11, + // or matches in the last dotted part of the object name + objPartialMatch: 6, + // Additive scores depending on the priority of the object + objPrio: { + 0: 15, // used to be importantResults + 1: 5, // used to be objectResults + 2: -5, // used to be unimportantResults + }, + // Used when the priority is not in the mapping. + objPrioDefault: 0, + + // query found in title + title: 15, + partialTitle: 7, + // query found in terms + term: 5, + partialTerm: 2, + }; +} + +const _removeChildren = (element) => { + while (element && element.lastChild) element.removeChild(element.lastChild); +}; + +/** + * See https://developer.mozilla.org/en-US/docs/Web/JavaScript/Guide/Regular_Expressions#escaping + */ +const _escapeRegExp = (string) => + string.replace(/[.*+\-?^${}()|[\]\\]/g, "\\$&"); // $& means the whole matched string + +const _displayItem = (item, searchTerms) => { + const docBuilder = DOCUMENTATION_OPTIONS.BUILDER; + const docUrlRoot = DOCUMENTATION_OPTIONS.URL_ROOT; + const docFileSuffix = DOCUMENTATION_OPTIONS.FILE_SUFFIX; + const docLinkSuffix = DOCUMENTATION_OPTIONS.LINK_SUFFIX; + const showSearchSummary = DOCUMENTATION_OPTIONS.SHOW_SEARCH_SUMMARY; + + const [docName, title, anchor, descr, score, _filename] = item; + + let listItem = document.createElement("li"); + let requestUrl; + let linkUrl; + if (docBuilder === "dirhtml") { + // dirhtml builder + let dirname = docName + "/"; + if (dirname.match(/\/index\/$/)) + dirname = dirname.substring(0, dirname.length - 6); + else if (dirname === "index/") dirname = ""; + requestUrl = docUrlRoot + dirname; + linkUrl = requestUrl; + } else { + // normal html builders + requestUrl = docUrlRoot + docName + docFileSuffix; + linkUrl = docName + docLinkSuffix; + } + let linkEl = listItem.appendChild(document.createElement("a")); + linkEl.href = linkUrl + anchor; + linkEl.dataset.score = score; + linkEl.innerHTML = title; + if (descr) + listItem.appendChild(document.createElement("span")).innerHTML = + " (" + descr + ")"; + else if (showSearchSummary) + fetch(requestUrl) + .then((responseData) => responseData.text()) + .then((data) => { + if (data) + listItem.appendChild( + Search.makeSearchSummary(data, searchTerms) + ); + }); + Search.output.appendChild(listItem); +}; +const _finishSearch = (resultCount) => { + Search.stopPulse(); + Search.title.innerText = _("Search Results"); + if (!resultCount) + Search.status.innerText = Documentation.gettext( + "Your search did not match any documents. Please make sure that all words are spelled correctly and that you've selected enough categories." + ); + else + Search.status.innerText = _( + `Search finished, found ${resultCount} page(s) matching the search query.` + ); +}; +const _displayNextItem = ( + results, + resultCount, + searchTerms +) => { + // results left, load the summary and display it + // this is intended to be dynamic (don't sub resultsCount) + if (results.length) { + _displayItem(results.pop(), searchTerms); + setTimeout( + () => _displayNextItem(results, resultCount, searchTerms), + 5 + ); + } + // search finished, update title and status message + else _finishSearch(resultCount); +}; + +/** + * Default splitQuery function. Can be overridden in ``sphinx.search`` with a + * custom function per language. + * + * The regular expression works by splitting the string on consecutive characters + * that are not Unicode letters, numbers, underscores, or emoji characters. + * This is the same as ``\W+`` in Python, preserving the surrogate pair area. + */ +if (typeof splitQuery === "undefined") { + var splitQuery = (query) => query + .split(/[^\p{Letter}\p{Number}_\p{Emoji_Presentation}]+/gu) + .filter(term => term) // remove remaining empty strings +} + +/** + * Search Module + */ +const Search = { + _index: null, + _queued_query: null, + _pulse_status: -1, + + htmlToText: (htmlString) => { + const htmlElement = new DOMParser().parseFromString(htmlString, 'text/html'); + htmlElement.querySelectorAll(".headerlink").forEach((el) => { el.remove() }); + const docContent = htmlElement.querySelector('[role="main"]'); + if (docContent !== undefined) return docContent.textContent; + console.warn( + "Content block not found. Sphinx search tries to obtain it via '[role=main]'. Could you check your theme or template." + ); + return ""; + }, + + init: () => { + const query = new URLSearchParams(window.location.search).get("q"); + document + .querySelectorAll('input[name="q"]') + .forEach((el) => (el.value = query)); + if (query) Search.performSearch(query); + }, + + loadIndex: (url) => + (document.body.appendChild(document.createElement("script")).src = url), + + setIndex: (index) => { + Search._index = index; + if (Search._queued_query !== null) { + const query = Search._queued_query; + Search._queued_query = null; + Search.query(query); + } + }, + + hasIndex: () => Search._index !== null, + + deferQuery: (query) => (Search._queued_query = query), + + stopPulse: () => (Search._pulse_status = -1), + + startPulse: () => { + if (Search._pulse_status >= 0) return; + + const pulse = () => { + Search._pulse_status = (Search._pulse_status + 1) % 4; + Search.dots.innerText = ".".repeat(Search._pulse_status); + if (Search._pulse_status >= 0) window.setTimeout(pulse, 500); + }; + pulse(); + }, + + /** + * perform a search for something (or wait until index is loaded) + */ + performSearch: (query) => { + // create the required interface elements + const searchText = document.createElement("h2"); + searchText.textContent = _("Searching"); + const searchSummary = document.createElement("p"); + searchSummary.classList.add("search-summary"); + searchSummary.innerText = ""; + const searchList = document.createElement("ul"); + searchList.classList.add("search"); + + const out = document.getElementById("search-results"); + Search.title = out.appendChild(searchText); + Search.dots = Search.title.appendChild(document.createElement("span")); + Search.status = out.appendChild(searchSummary); + Search.output = out.appendChild(searchList); + + const searchProgress = document.getElementById("search-progress"); + // Some themes don't use the search progress node + if (searchProgress) { + searchProgress.innerText = _("Preparing search..."); + } + Search.startPulse(); + + // index already loaded, the browser was quick! + if (Search.hasIndex()) Search.query(query); + else Search.deferQuery(query); + }, + + /** + * execute search (requires search index to be loaded) + */ + query: (query) => { + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const titles = Search._index.titles; + const allTitles = Search._index.alltitles; + const indexEntries = Search._index.indexentries; + + // stem the search terms and add them to the correct list + const stemmer = new Stemmer(); + const searchTerms = new Set(); + const excludedTerms = new Set(); + const highlightTerms = new Set(); + const objectTerms = new Set(splitQuery(query.toLowerCase().trim())); + splitQuery(query.trim()).forEach((queryTerm) => { + const queryTermLower = queryTerm.toLowerCase(); + + // maybe skip this "word" + // stopwords array is from language_data.js + if ( + stopwords.indexOf(queryTermLower) !== -1 || + queryTerm.match(/^\d+$/) + ) + return; + + // stem the word + let word = stemmer.stemWord(queryTermLower); + // select the correct list + if (word[0] === "-") excludedTerms.add(word.substr(1)); + else { + searchTerms.add(word); + highlightTerms.add(queryTermLower); + } + }); + + if (SPHINX_HIGHLIGHT_ENABLED) { // set in sphinx_highlight.js + localStorage.setItem("sphinx_highlight_terms", [...highlightTerms].join(" ")) + } + + // console.debug("SEARCH: searching for:"); + // console.info("required: ", [...searchTerms]); + // console.info("excluded: ", [...excludedTerms]); + + // array of [docname, title, anchor, descr, score, filename] + let results = []; + _removeChildren(document.getElementById("search-progress")); + + const queryLower = query.toLowerCase(); + for (const [title, foundTitles] of Object.entries(allTitles)) { + if (title.toLowerCase().includes(queryLower) && (queryLower.length >= title.length/2)) { + for (const [file, id] of foundTitles) { + let score = Math.round(100 * queryLower.length / title.length) + results.push([ + docNames[file], + titles[file] !== title ? `${titles[file]} > ${title}` : title, + id !== null ? "#" + id : "", + null, + score, + filenames[file], + ]); + } + } + } + + // search for explicit entries in index directives + for (const [entry, foundEntries] of Object.entries(indexEntries)) { + if (entry.includes(queryLower) && (queryLower.length >= entry.length/2)) { + for (const [file, id] of foundEntries) { + let score = Math.round(100 * queryLower.length / entry.length) + results.push([ + docNames[file], + titles[file], + id ? "#" + id : "", + null, + score, + filenames[file], + ]); + } + } + } + + // lookup as object + objectTerms.forEach((term) => + results.push(...Search.performObjectSearch(term, objectTerms)) + ); + + // lookup as search terms in fulltext + results.push(...Search.performTermsSearch(searchTerms, excludedTerms)); + + // let the scorer override scores with a custom scoring function + if (Scorer.score) results.forEach((item) => (item[4] = Scorer.score(item))); + + // now sort the results by score (in opposite order of appearance, since the + // display function below uses pop() to retrieve items) and then + // alphabetically + results.sort((a, b) => { + const leftScore = a[4]; + const rightScore = b[4]; + if (leftScore === rightScore) { + // same score: sort alphabetically + const leftTitle = a[1].toLowerCase(); + const rightTitle = b[1].toLowerCase(); + if (leftTitle === rightTitle) return 0; + return leftTitle > rightTitle ? -1 : 1; // inverted is intentional + } + return leftScore > rightScore ? 1 : -1; + }); + + // remove duplicate search results + // note the reversing of results, so that in the case of duplicates, the highest-scoring entry is kept + let seen = new Set(); + results = results.reverse().reduce((acc, result) => { + let resultStr = result.slice(0, 4).concat([result[5]]).map(v => String(v)).join(','); + if (!seen.has(resultStr)) { + acc.push(result); + seen.add(resultStr); + } + return acc; + }, []); + + results = results.reverse(); + + // for debugging + //Search.lastresults = results.slice(); // a copy + // console.info("search results:", Search.lastresults); + + // print the results + _displayNextItem(results, results.length, searchTerms); + }, + + /** + * search for object names + */ + performObjectSearch: (object, objectTerms) => { + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const objects = Search._index.objects; + const objNames = Search._index.objnames; + const titles = Search._index.titles; + + const results = []; + + const objectSearchCallback = (prefix, match) => { + const name = match[4] + const fullname = (prefix ? prefix + "." : "") + name; + const fullnameLower = fullname.toLowerCase(); + if (fullnameLower.indexOf(object) < 0) return; + + let score = 0; + const parts = fullnameLower.split("."); + + // check for different match types: exact matches of full name or + // "last name" (i.e. last dotted part) + if (fullnameLower === object || parts.slice(-1)[0] === object) + score += Scorer.objNameMatch; + else if (parts.slice(-1)[0].indexOf(object) > -1) + score += Scorer.objPartialMatch; // matches in last name + + const objName = objNames[match[1]][2]; + const title = titles[match[0]]; + + // If more than one term searched for, we require other words to be + // found in the name/title/description + const otherTerms = new Set(objectTerms); + otherTerms.delete(object); + if (otherTerms.size > 0) { + const haystack = `${prefix} ${name} ${objName} ${title}`.toLowerCase(); + if ( + [...otherTerms].some((otherTerm) => haystack.indexOf(otherTerm) < 0) + ) + return; + } + + let anchor = match[3]; + if (anchor === "") anchor = fullname; + else if (anchor === "-") anchor = objNames[match[1]][1] + "-" + fullname; + + const descr = objName + _(", in ") + title; + + // add custom score for some objects according to scorer + if (Scorer.objPrio.hasOwnProperty(match[2])) + score += Scorer.objPrio[match[2]]; + else score += Scorer.objPrioDefault; + + results.push([ + docNames[match[0]], + fullname, + "#" + anchor, + descr, + score, + filenames[match[0]], + ]); + }; + Object.keys(objects).forEach((prefix) => + objects[prefix].forEach((array) => + objectSearchCallback(prefix, array) + ) + ); + return results; + }, + + /** + * search for full-text terms in the index + */ + performTermsSearch: (searchTerms, excludedTerms) => { + // prepare search + const terms = Search._index.terms; + const titleTerms = Search._index.titleterms; + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const titles = Search._index.titles; + + const scoreMap = new Map(); + const fileMap = new Map(); + + // perform the search on the required terms + searchTerms.forEach((word) => { + const files = []; + const arr = [ + { files: terms[word], score: Scorer.term }, + { files: titleTerms[word], score: Scorer.title }, + ]; + // add support for partial matches + if (word.length > 2) { + const escapedWord = _escapeRegExp(word); + Object.keys(terms).forEach((term) => { + if (term.match(escapedWord) && !terms[word]) + arr.push({ files: terms[term], score: Scorer.partialTerm }); + }); + Object.keys(titleTerms).forEach((term) => { + if (term.match(escapedWord) && !titleTerms[word]) + arr.push({ files: titleTerms[word], score: Scorer.partialTitle }); + }); + } + + // no match but word was a required one + if (arr.every((record) => record.files === undefined)) return; + + // found search word in contents + arr.forEach((record) => { + if (record.files === undefined) return; + + let recordFiles = record.files; + if (recordFiles.length === undefined) recordFiles = [recordFiles]; + files.push(...recordFiles); + + // set score for the word in each file + recordFiles.forEach((file) => { + if (!scoreMap.has(file)) scoreMap.set(file, {}); + scoreMap.get(file)[word] = record.score; + }); + }); + + // create the mapping + files.forEach((file) => { + if (fileMap.has(file) && fileMap.get(file).indexOf(word) === -1) + fileMap.get(file).push(word); + else fileMap.set(file, [word]); + }); + }); + + // now check if the files don't contain excluded terms + const results = []; + for (const [file, wordList] of fileMap) { + // check if all requirements are matched + + // as search terms with length < 3 are discarded + const filteredTermCount = [...searchTerms].filter( + (term) => term.length > 2 + ).length; + if ( + wordList.length !== searchTerms.size && + wordList.length !== filteredTermCount + ) + continue; + + // ensure that none of the excluded terms is in the search result + if ( + [...excludedTerms].some( + (term) => + terms[term] === file || + titleTerms[term] === file || + (terms[term] || []).includes(file) || + (titleTerms[term] || []).includes(file) + ) + ) + break; + + // select one (max) score for the file. + const score = Math.max(...wordList.map((w) => scoreMap.get(file)[w])); + // add result to the result list + results.push([ + docNames[file], + titles[file], + "", + null, + score, + filenames[file], + ]); + } + return results; + }, + + /** + * helper function to return a node containing the + * search summary for a given text. keywords is a list + * of stemmed words. + */ + makeSearchSummary: (htmlText, keywords) => { + const text = Search.htmlToText(htmlText); + if (text === "") return null; + + const textLower = text.toLowerCase(); + const actualStartPosition = [...keywords] + .map((k) => textLower.indexOf(k.toLowerCase())) + .filter((i) => i > -1) + .slice(-1)[0]; + const startWithContext = Math.max(actualStartPosition - 120, 0); + + const top = startWithContext === 0 ? "" : "..."; + const tail = startWithContext + 240 < text.length ? "..." : ""; + + let summary = document.createElement("p"); + summary.classList.add("context"); + summary.textContent = top + text.substr(startWithContext, 240).trim() + tail; + + return summary; + }, +}; + +_ready(Search.init); diff --git a/main/_static/sphinx_highlight.js b/main/_static/sphinx_highlight.js new file mode 100644 index 000000000..aae669d7e --- /dev/null +++ b/main/_static/sphinx_highlight.js @@ -0,0 +1,144 @@ +/* Highlighting utilities for Sphinx HTML documentation. */ +"use strict"; + +const SPHINX_HIGHLIGHT_ENABLED = true + +/** + * highlight a given string on a node by wrapping it in + * span elements with the given class name. + */ +const _highlight = (node, addItems, text, className) => { + if (node.nodeType === Node.TEXT_NODE) { + const val = node.nodeValue; + const parent = node.parentNode; + const pos = val.toLowerCase().indexOf(text); + if ( + pos >= 0 && + !parent.classList.contains(className) && + !parent.classList.contains("nohighlight") + ) { + let span; + + const closestNode = parent.closest("body, svg, foreignObject"); + const isInSVG = closestNode && closestNode.matches("svg"); + if (isInSVG) { + span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); + } else { + span = document.createElement("span"); + span.classList.add(className); + } + + span.appendChild(document.createTextNode(val.substr(pos, text.length))); + parent.insertBefore( + span, + parent.insertBefore( + document.createTextNode(val.substr(pos + text.length)), + node.nextSibling + ) + ); + node.nodeValue = val.substr(0, pos); + + if (isInSVG) { + const rect = document.createElementNS( + "http://www.w3.org/2000/svg", + "rect" + ); + const bbox = parent.getBBox(); + rect.x.baseVal.value = bbox.x; + rect.y.baseVal.value = bbox.y; + rect.width.baseVal.value = bbox.width; + rect.height.baseVal.value = bbox.height; + rect.setAttribute("class", className); + addItems.push({ parent: parent, target: rect }); + } + } + } else if (node.matches && !node.matches("button, select, textarea")) { + node.childNodes.forEach((el) => _highlight(el, addItems, text, className)); + } +}; +const _highlightText = (thisNode, text, className) => { + let addItems = []; + _highlight(thisNode, addItems, text, className); + addItems.forEach((obj) => + obj.parent.insertAdjacentElement("beforebegin", obj.target) + ); +}; + +/** + * Small JavaScript module for the documentation. + */ +const SphinxHighlight = { + + /** + * highlight the search words provided in localstorage in the text + */ + highlightSearchWords: () => { + if (!SPHINX_HIGHLIGHT_ENABLED) return; // bail if no highlight + + // get and clear terms from localstorage + const url = new URL(window.location); + const highlight = + localStorage.getItem("sphinx_highlight_terms") + || url.searchParams.get("highlight") + || ""; + localStorage.removeItem("sphinx_highlight_terms") + url.searchParams.delete("highlight"); + window.history.replaceState({}, "", url); + + // get individual terms from highlight string + const terms = highlight.toLowerCase().split(/\s+/).filter(x => x); + if (terms.length === 0) return; // nothing to do + + // There should never be more than one element matching "div.body" + const divBody = document.querySelectorAll("div.body"); + const body = divBody.length ? divBody[0] : document.querySelector("body"); + window.setTimeout(() => { + terms.forEach((term) => _highlightText(body, term, "highlighted")); + }, 10); + + const searchBox = document.getElementById("searchbox"); + if (searchBox === null) return; + searchBox.appendChild( + document + .createRange() + .createContextualFragment( + '" + ) + ); + }, + + /** + * helper function to hide the search marks again + */ + hideSearchWords: () => { + document + .querySelectorAll("#searchbox .highlight-link") + .forEach((el) => el.remove()); + document + .querySelectorAll("span.highlighted") + .forEach((el) => el.classList.remove("highlighted")); + localStorage.removeItem("sphinx_highlight_terms") + }, + + initEscapeListener: () => { + // only install a listener if it is really needed + if (!DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS) return; + + document.addEventListener("keydown", (event) => { + // bail for input elements + if (BLACKLISTED_KEY_CONTROL_ELEMENTS.has(document.activeElement.tagName)) return; + // bail with special keys + if (event.shiftKey || event.altKey || event.ctrlKey || event.metaKey) return; + if (DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS && (event.key === "Escape")) { + SphinxHighlight.hideSearchWords(); + event.preventDefault(); + } + }); + }, +}; + +_ready(SphinxHighlight.highlightSearchWords); +_ready(SphinxHighlight.initEscapeListener); diff --git a/main/_static/style.min.css b/main/_static/style.min.css new file mode 100644 index 000000000..89ef1692f --- /dev/null +++ b/main/_static/style.min.css @@ -0,0 +1,3 @@ +:root{--subtle-box-shadow: 0 .2rem .5rem rgba(0,0,0,.05),0 0 .0625rem rgba(0,0,0,.1);--subtle-box-shadow-button: 0 .2rem .5rem rgba(0,0,0,.1),0 0 .0625rem rgba(0,0,0,.15);--subtle-box-shadow-hover: 0 .3rem .6rem rgba(0,0,0,.2),0 0 .085rem rgba(0,0,0,.2);color-scheme:light dark}html{scroll-behavior:smooth}body{--icon-search: url('data:image/svg+xml;charset=utf-8,');--icon-pencil: url('data:image/svg+xml;charset=utf-8,');--icon-abstract: url('data:image/svg+xml;charset=utf-8,');--icon-info: url('data:image/svg+xml;charset=utf-8,');--icon-flame: url('data:image/svg+xml;charset=utf-8,');--icon-question: url('data:image/svg+xml;charset=utf-8,');--icon-warning: url('data:image/svg+xml;charset=utf-8,');--icon-failure: url('data:image/svg+xml;charset=utf-8,');--icon-spark: url('data:image/svg+xml;charset=utf-8,');--icon-adi: url('data:image/svg+xml;charset=utf-8,');--icon-video: url('data:image/svg+xml;charset=utf-8,');--icon-ez: url('data:image/svg+xml;charset=utf-8,');--icon-external: url('data:image/svg+xml;charset=utf-8,');--icon-git: url('data:image/svg+xml;charset=utf-8,');--color-admonition-title--caution: #ff9100;--color-admonition-title-background--caution: rgba(255, 145, 0, 0.2);--color-admonition-title--warning: #ff9100;--color-admonition-title-background--warning: rgba(255, 145, 0, 0.2);--color-admonition-title--danger: #ff5252;--color-admonition-title-background--danger: rgba(255, 82, 82, 0.2);--color-admonition-title--attention: #ff5252;--color-admonition-title-background--attention: rgba(255, 82, 82, 0.2);--color-admonition-title--error: #ff5252;--color-admonition-title-background--error: rgba(255, 82, 82, 0.2);--color-admonition-title--hint: #00c852;--color-admonition-title-background--hint: rgba(0, 200, 82, 0.2);--color-admonition-title--tip: #00c852;--color-admonition-title-background--tip: rgba(0, 200, 82, 0.2);--color-admonition-title--important: #00bfa5;--color-admonition-title-background--important: rgba(0, 191, 165, 0.2);--color-admonition-title--note: #00b0ff;--color-admonition-title-background--note: rgba(0, 176, 255, 0.2);--color-admonition-title--video: #00b0ff;--color-admonition-title-background--video: rgba(0, 176, 255, 0.2);--color-admonition-title--seealso: #448aff;--color-admonition-title-background--seealso: rgba(68, 138, 255, 0.2);--color-admonition-title--admonition-todo: #808080;--color-admonition-title-background--admonition-todo: rgba(128, 128, 128, 0.2);--color-admonition-title: #651fff;--color-admonition-title-background: rgba(101, 31, 255, 0.2);--icon-admonition-default: var(--icon-abstract);--color-topic-title: #14B8A6;--color-topic-title-background: rgba(20, 184, 166, 0.2);--icon-topic-default: var(--icon-pencil);--color-link-title--adi: #0067b9;--color-link-title-background--adi: rgba(0, 103, 185, 0.2);--color-link-title--ez: #2eb251;--color-link-title-background--ez: rgba(46, 178, 81, 0.2);--color-link-title--git: #f05133;--color-link-title-background--git: rgba(240, 81, 51, 0.2);--color-link-title--other: #666666;--color-link-title-background--other: rgba(102, 102, 102, 0.2);--color-link-title: #14B8A6;--color-link-title-background: rgba(20, 184, 166, 0.2);--icon-link-default: var(--icon-adi)}body{--is-dark-theme: 0;--is-light-theme: 1;--display-dark: none;--display-light: inline-block;--bg-color1: #f9f9f9;--bg-color2: #f4f4f4;--bg-color1-faded1: #f9f9f999;--bg-color1-faded2: #f9f9f9aa;--text-color1: #212836;--text-color2: #555;--text-color3: #888;--accent-color: #0067b9;--accent-color-code: #cc6d34;--bg-banner: #00305b;--text-color-banner: #fff}body.dark{--is-dark-theme: 1;--is-light-theme: 0;--display-dark: inline-block;--display-light: none;--bg-color1: #1a1a1a;--bg-color2: #111;--bg-color1-faded1: #1a1a1aaa;--bg-color1-faded2: #1a1a1acc;--text-color1: #e5e5e5;--text-color2: #ccc;--text-color3: #aaa;--accent-color: #0076d6;--accent-color-code: #ff8d34;--bg-banner: #0088ff;--text-color-banner: #000}@media(prefers-color-scheme: dark){body:not(.light){--is-dark-theme: 1;--is-light-theme: 0;--display-dark: inline-block;--display-light: none;--bg-color1: #1a1a1a;--bg-color2: #111;--bg-color1-faded1: #1a1a1a99;--bg-color1-faded2: #1a1a1aaa;--text-color1: #e5e5e5;--text-color2: #ccc;--text-color3: #aaa;--accent-color: #0076d6;--accent-color-code: #ff8d34;--bg-banner: #0088ff;--text-color-banner: #000}}body{margin:0;overflow-x:hidden}@media screen{body{background-color:var(--bg-color1);color:var(--text-color1)}}*{box-sizing:border-box}.body{width:100%;padding:0 1em;overflow-x:auto}@media(min-width: 105em){.bodywrapper>div{max-width:60rem}}@media(max-width: 105em){.bodywrapper>div{margin:0 auto}}@media(min-width: 65em){#vertical-border{position:fixed;height:100vh;border-left:1px solid rgba(125,125,125,.8);top:0;z-index:10}}@media(min-width: 65em)and (max-width: 80em){#vertical-border{left:17rem}.bodywrapper{margin-left:18rem}}@media(min-width: 80em){#vertical-border{left:calc(40vw - 15rem)}.bodywrapper{margin-left:calc(40vw - 14rem)}}@media(min-width: 65em){button#show-sidebar{display:none}.body{min-height:calc(100vh - 7rem)}}@media(max-width: 65em){.body{min-height:calc(100vh - 11.25rem)}}.body p{line-height:1.5em;text-align:justify;margin:.25em 0 .75em 0}.body ol p,.body ul p{margin:.25em 0}svg{display:block;margin:0 auto}.body table{margin:0 auto;line-height:1.5em}@media(min-width: 65em){#hdl-component-diagram svg{float:right;margin:-3em 0 0 1em;max-width:30rem}}@media(max-width: 65em){svg{max-width:100%}}@font-face{font-family:"Barlow";src:url("fonts/barlow/Barlow-Medium.woff2") format("woff2");font-weight:500;font-style:normal}@font-face{font-family:"Barlow";src:url("fonts/barlow/Barlow-SemiBold.woff2") format("woff2");font-weight:600;font-style:normal}@font-face{font-family:"Inter";src:url("fonts/inter/Inter-Regular.woff2") format("woff2");font-weight:normal;font-style:normal}@font-face{font-family:"Inter";src:url("fonts/inter/Inter-SemiBold.woff2") format("woff2");font-weight:600;font-style:normal}body{font-family:Inter,Arial,sans-serif}.body :is(h1,h2,h3,h4,h5,h6){font-family:Barlow,Arial,sans-serif;font-weight:500;margin:0;padding:1em 0 .25em 0}.body h1{font-size:1.6em}.body h2{font-size:1.5em}.body h3{font-size:1.4em}.body h4{font-size:1.3em}.body h5{font-size:1.25em}.body h6{font-size:1.2em}.sphinxsidebar .caption-text{font-family:Barlow,Arial,sans-serif;font-weight:600;font-size:1.1em}.bold{font-weight:600}input,textarea,select,button,summary{background:none;outline:none;border:none;font-size:1em}a,input,textarea,select,button,summary,label{-webkit-tap-highlight-color:rgba(0,0,0,0)}video{max-width:100%}section,#top-anchor,aside,a{scroll-margin-top:3.5rem}span{scroll-margin-top:2.5rem}:is(h1,h2,h3,h4,h5,h6) .headerlink,figure .headerlink{margin-left:.25em;opacity:0;transition:opacity ease .125s}:is(h1,h2,h3,h4,h5,h6):hover .headerlink,figure:hover .headerlink{opacity:1}code.literal{border:1px solid rgba(125,125,125,.15);background:rgba(125,125,125,.05);border-radius:.5rem;padding:0em .2em .1em .2em}@media screen{code.literal{font-size:1.1em}}@media print{code.literal{font-size:.9em}}a{overflow-wrap:anywhere}.highlight{padding:.75em;margin-bottom:.5em;border-radius:.5rem;overflow-x:auto}.highlight .hll{box-sizing:content-box;width:100%;display:block;margin:0 -0.75rem;padding:0 .75rem}.math-wrapper,.table-wrapper{width:100%;overflow-x:auto}.table-wrapper{margin-bottom:.5em}table.docutils{border-spacing:0;border-radius:.5rem;border:1px solid rgba(125,125,125,.25)}table.docutils thead{background-color:var(--bg-color2)}table.docutils th{border-bottom:1px solid rgba(125,125,125,.5)}table.docutils td{border-bottom:1px solid rgba(125,125,125,.25)}table.docutils p{margin:0;text-align:left}table.docutils th,table.docutils td{padding:.5em}table.docutils tr:last-child td{border:none}table.docutils thead:not(:first-child) th{font-weight:normal}img{display:block;margin:0 auto;max-width:100%}@media print{img{break-inside:avoid}}@media(min-width: 65em){.body img{display:block;margin:0 auto;max-width:100%;padding:.5em}.body img.align-left{float:left;clear:left;margin-right:.5em}.body img.align-right{float:right;clear:right;margin-left:.5em}}@media(prefers-color-scheme: dark){body:not(.light) .body img{background:#fff;border-radius:.5rem}}body.dark .body img{background:#fff;border-radius:.5rem}.toctree-wrapper li{margin:.5em 0}table.regmap td.description{font-size:.8em}@media screen{table.regmap td:not(.description) code.literal{font-size:1em}}pre{margin:0}#hdl-parameters table p,#hdl-interfaces table p{white-space:break-spaces}aside.footnote p{margin:.5em 0 0 0}aside.footnote .label{padding:.15em .3em 0 0;white-space:nowrap;float:left}iframe{border:none}@media screen{.iframe-video p,.embed-video p{text-align:center}}.iframe-video iframe{box-shadow:0 .2rem .5rem rgba(0,0,0,.05),0 0 .0625rem rgba(0,0,0,.1);border-radius:.5rem;overflow:hidden;display:block;margin:0 auto;width:59rem;height:33.1875rem}@media(max-width: 80em){.iframe-video{width:100%;overflow:hidden}}@media(min-width: 65em)and (max-width: 80em){.iframe-video iframe{width:calc(100vw - 20rem);height:calc(56.25vw - 11.25rem)}}@media(max-width: 65em){.iframe-video iframe{width:calc(100vw - 2rem);height:calc(56.25vw - 1.125rem)}}.esd-warning{border-radius:.5em;align-items:center;padding:.25rem .5em !important;font-size:.9em;text-align:justify}.esd-warning .icon{width:7rem;height:7rem;margin-right:.5rem;flex-shrink:0;background:url(esd-warning.svg);print-color-adjust:exact;-webkit-print-color-adjust:exact;background-size:contain}@media(min-width: 40em){.esd-warning{display:flex}}@media(max-width: 40em){.esd-warning .icon{margin:0 auto}}@media screen{.esd-warning{color:#000 !important;background:#ff0}}@media print{.esd-warning{break-inside:avoid;border:1px solid #ff0}}.image-reference{text-align:center}.only-dark,.only-light,#badges img{background:none !important}#badges img{display:inline-block !important}.only-light{display:var(--display-light) !important}.only-dark{display:var(--display-dark) !important}figcaption p{text-align:center !important}.banner{line-height:2em;background-color:var(--bg-banner);color:var(--text-color-banner);text-align:center;z-index:11;position:relative}.banner a{padding-left:.25em;font-weight:600;border-bottom:1px solid rgba(125,125,125,.25)}.banner a:hover{border-color:var(--text-color-banner)}.banner.on{display:block}header{z-index:7;position:sticky;width:100%;top:0;print-color-adjust:exact;-webkit-print-color-adjust:exact}header label,header button{cursor:pointer}header #right span{display:flex;align-items:center}header #right span.reverse{flex-direction:row-reverse}header .icon:before{content:"";display:block;width:1.5rem;height:1.5rem;margin:.5rem .25rem;background:url("./icons.svg");filter:invert(var(--is-dark-theme))}header #search:before{background-position:left -6rem top}header #theme:before{background-position:left -1.5rem bottom}header #left{margin:0 .25rem;display:flex;align-items:center}header #right{margin-right:.25rem}header #show-sidebar:before{background-position:left 0rem top;transition:.25s ease background-position}header #show-localtoc:before{background-position:left -3rem top;transition:.25s ease background-position}@media screen{header{border-bottom:1px solid rgba(125,125,125,.8)}}@media(min-width: 65em)and (max-width: 80em){.repotoc-tree.overlay root{padding-left:18em}}@media(min-width: 80em){.repotoc-tree.overlay root{padding-left:calc(40vw - 14em)}}.repotoc-tree.overlay{position:sticky;top:3.5rem;pointer-events:none;height:0;opacity:0;z-index:6;width:0;transition:opacity .25s ease;overflow-y:visible}.repotoc-tree.overlay root{background:var(--bg-color1);overflow-y:auto;padding-top:1em;height:calc(100vh - 3.5em);width:100vw;display:flex;flex-direction:column}.repotoc-tree.overlay a{cursor:pointer;display:block;width:fit-content;padding:0 .5em 0 .5em;transition:padding .4s ease;margin:0 .5em}.repotoc-tree.overlay a:hover{color:var(--accent-color);border-color:var(--accent-color)}.repotoc-tree.overlay a.current{color:var(--accent-color)}#input-show-toc:checked~header #show-sidebar:before{background-position:left 0rem bottom}#input-show-localtoc:checked~header #show-localtoc:before{background-position:left -3rem bottom}.dark header #theme:before{background-position:left -1.5rem top}@media(prefers-color-scheme: dark){header #theme:before{background-position:left -1.5rem top}.light header #theme:before{background-position:left -1.5rem bottom}}@media(min-width: 105em){header #show-localtoc{display:none}}@media screen and (max-width: 65em){header{border-bottom:1px solid rgba(125,125,125,.8)}}@media(max-width: 65em){header{display:flex;width:100%}}@media screen and (max-width: 65em){header{background:var(--bg-color1-faded1)}}header{height:3.5rem}header #right{display:flex;justify-content:space-between}header #logo-org{display:inline-block;width:5.375rem;height:1.5rem;margin:.75rem 0;background:url("./logo-org.svg") no-repeat center top;flex-shrink:0}header .vertical-divider{padding:0 .25rem 0 .75rem;border-right:1px solid rgba(125,125,125,.8);height:1.75rem}header #logo{padding-left:.25rem;height:3.5rem;overflow:hidden;display:flex;align-items:center;line-height:1rem}header #logo div{transition:margin .25s ease,opacity .25s ease}body.dark #logo-org{background-position:center bottom}@media(prefers-color-scheme: dark){body:not(.light) #logo-org{background-position:center bottom}}@media(max-width: 65em){header{backdrop-filter:blur(1em)}header #right{width:100%}}@media(min-width: 65em){header{background:var(--bg-color1)}header #left{display:none}header #right{text-align:right}}@media(min-width: 65em)and (max-width: 80em){header #right{margin-left:19rem}}@media(min-width: 80em){header #right{margin-left:calc(40vw - 13rem)}}@media(min-width: 105em){header #right{max-width:60rem}}@media(max-width: 65em){.repotoc-tree.overlay{display:none}}header #show-repotoc{display:flex;margin:0 .25em;align-items:center;border-radius:2em;padding:.5em .75em;border:1px solid rgba(125,125,125,0);user-select:none}header #show-repotoc:hover{border:1px solid rgba(125,125,125,.8)}header #show-repotoc:after{border:1px solid var(--text-color1);border-width:0 1px 1px 0;display:block;content:"";transition:transform ease .125s,margin ease .125s;width:.6em;height:.6em;transform:rotate(-45deg);margin-top:1px;margin-left:.5em}@media print{header #show-repotoc{display:none}header #logo{margin-left:.5em}}@media(max-width: 65em){header #show-repotoc{display:none}header #logo{margin-left:.5em}}#input-show-repotoc:checked~header #show-repotoc:after{transform:rotate(45deg);margin-top:-5px;margin-left:calc(.5em + 2px);margin-right:-2px}@media(min-width: 65em){#input-show-repotoc:checked~header #logo div{margin-top:6em;opacity:0}}#input-show-repotoc:checked~div.repotoc-tree{pointer-events:initial;opacity:1}#input-show-repotoc:checked~div.repotoc-tree a{padding:.75em .5em}body:has(>#input-show-repotoc:checked){overflow-y:hidden}.sphinxsidebar{width:0}.sphinxsidebarwrapper{box-sizing:content-box;overflow-y:auto;height:calc(100vh - 3.5rem)}.sphinxsidebar,.localtoc{z-index:2;position:sticky;top:3.5rem;height:0}@media(max-width: 65em){.sphinxsidebar .repotoc-tree{display:contents}}@media(min-width: 65em){.sphinxsidebar .repotoc-tree{display:none}}@media(max-width: 65em){.sphinxsidebar{transition:.125s ease opacity,.25s ease margin;pointer-events:none;margin-left:-5rem;opacity:0}.sphinxsidebarwrapper{backdrop-filter:blur(1em);background:var(--bg-color1-faded2);box-shadow:.3rem 0 .3rem rgba(0,0,0,.1),0 0 .075rem rgba(0,0,0,.15);width:18rem}#input-show-toc:checked~.sphinxsidebar{pointer-events:initial;margin-left:0;opacity:1}.sphinxsidebar .toctree{margin:1em auto 0}.sphinxsidebar #logo img,.sphinxsidebar #no-logo{display:none !important}}.sphinxsidebar #logo,.sphinxsidebar #no-logo{display:block;max-width:13rem}.sphinxsidebar #no-logo{font-size:1.5em;margin-bottom:.5rem}@media(min-width: 40em)and (max-width: 65em){.sphinxsidebar{width:18em;left:-19em}#input-show-toc:checked~.sphinxsidebar{box-shadow:.3rem 0 .3rem rgba(0,0,0,.1),0 0 .075rem rgba(0,0,0,.15)}}#input-show-toc:checked~#cancel-area-show-toc,#input-show-localtoc:checked~#cancel-area-show-localtoc{z-index:3}#input-show-localtoc:checked~.localtoc,#input-show-toc:checked~.sphinxsidebar{z-index:5}@media(max-width: 40em){.sphinxsidebar{width:100vw;left:-100vw}}.sphinxsidebar ul{list-style-type:none;padding:0 0 0 .5em}.sphinxsidebar .caption-text{color:var(--text-color2)}.toctree-collapse{display:none}@media(min-width: 65em){button#show-sidebar{display:none}.sphinxsidebarwrapper{height:calc(100vh - 5rem);width:15rem}}@media(min-width: 65em)and (max-width: 80em){.sphinxsidebarwrapper{padding:1.5rem 1rem 0em 1rem}}@media(min-width: 80em){.sphinxsidebarwrapper{padding:1.5rem 1rem 0em calc(40vw - 31rem)}}@media(max-width: 65em){.toc-tree,.repotoc-tree{padding:1em}}.toc-tree,.sphinxsidebar .repotoc-tree{margin-bottom:10em}.toc-tree p.caption,.sphinxsidebar .repotoc-tree p.caption{margin:1em 0 .5em 0}.toc-tree>ul,.sphinxsidebar .repotoc-tree>ul{padding:0;margin:0}.toc-tree label,.sphinxsidebar .repotoc-tree label{cursor:pointer;display:block;padding:.6em .5em;height:1.75em;width:1.75em}.toc-tree .toctree-collapse~ul,.sphinxsidebar .repotoc-tree .toctree-collapse~ul{display:none}.toc-tree .toctree-collapse:checked~ul,.sphinxsidebar .repotoc-tree .toctree-collapse:checked~ul{display:block}.toc-tree .collapse,.sphinxsidebar .repotoc-tree .collapse{display:flex}.toc-tree a,.sphinxsidebar .repotoc-tree a{display:inline-block;line-height:1.75em;width:100%;padding:0 .5em 0 .75em;margin-left:-0.75em;border-radius:1rem;font-size:.9em;border:1px solid rgba(0,0,0,0)}.toc-tree a:hover,.sphinxsidebar .repotoc-tree a:hover{color:var(--accent-color)}.toc-tree a.current,.sphinxsidebar .repotoc-tree a.current{border-color:var(--accent-color);color:var(--accent-color)}.toc-tree label:hover .icon,.sphinxsidebar .repotoc-tree label:hover .icon{border-color:var(--accent-color) !important}.toc-tree label:hover,.toc-tree a:hover,.sphinxsidebar .repotoc-tree label:hover,.sphinxsidebar .repotoc-tree a:hover{background-color:var(--bg-color2)}.toc-tree .collapse label .icon,.sphinxsidebar .repotoc-tree .collapse label .icon{border:1px solid var(--text-color1);border-width:0 2px 2px 0;display:block;transition:transform ease .125s,margin ease .125s;width:.6em;height:.6em;transform:rotate(-45deg);margin-top:0px;margin-left:-1px}.toc-tree .toctree-collapse:checked~.collapse .icon,.sphinxsidebar .repotoc-tree .toctree-collapse:checked~.collapse .icon{transform:rotate(45deg);margin-top:-2px;margin-left:1px}.toc-tree label,.sphinxsidebar .repotoc-tree label{border-radius:1rem}.localtoc{right:auto;height:0;width:0;left:100vw}.tocwrapper{background:var(--bg-color1);box-sizing:content-box;padding-top:1rem;font-size:.9em;overflow-y:auto;height:calc(100vh - 4.5rem)}.tocwrapper ul{list-style-type:none;padding:0 0 0 .5em}.tocwrapper a{display:inline-block;padding:.25em 0}.tocwrapper a:not(.current){letter-spacing:.005em}.tocwrapper a.current{color:var(--accent-color);font-weight:bold;letter-spacing:-0.008em}.tocwrapper nav{max-width:20rem;border-left:1px solid rgba(125,125,125,.5);color:var(--text-color2);margin-bottom:10em}.tocwrapper .localtoc-header{padding-left:1rem;color:var(--text-color3)}.tocwrapper nav>ul>li:first-child>a{display:none}.tocwrapper>div{display:flex;align-items:center}.tocwrapper #scroll-up{cursor:pointer;filter:invert(var(--is-dark-theme));margin-left:.25rem;opacity:.75}.tocwrapper #scroll-up:before{content:"";display:block;width:1.5rem;height:1.5rem;margin:.25rem;background:url("./icons.svg")}.tocwrapper #scroll-up:before{background-position:left -4.5rem top}@media(min-width: 105em){.tocwrapper{width:calc(60vw - 60rem + 13rem)}.localtoc{left:calc(40vw + 60rem - 13rem)}}@media(min-width: 65em){.sphinxsidebar #show-repotoc,.sphinxsidebar #show-toc{display:none}}.sphinxsidebar #show-repotoc,.sphinxsidebar #show-toc{cursor:pointer;user-select:none;align-items:center;background:var(--bg-color2)}.sphinxsidebar #show-repotoc{padding:1.5rem .5rem .5rem .5em}.sphinxsidebar #show-toc{padding:1.5rem .5rem .5rem 1em}.sphinxsidebar #show-repotoc:before,.sphinxsidebar #show-toc:after{content:"";display:block;width:1.5rem;height:1.5rem;background:url("./icons.svg");filter:invert(var(--is-dark-theme))}.sphinxsidebar #show-repotoc:before{background-position:left -7.5rem bottom}.sphinxsidebar #show-toc:after{background-position:left -7.5rem top}@media(max-width: 65em){#input-switch-toc:checked~.repotoc-tree{display:block}#input-switch-toc:checked~.toc-tree{display:none}#show-toc{display:none}#show-repotoc,#input-switch-toc:checked~#show-toc{display:flex;justify-content:start}#input-switch-toc:checked~#show-repotoc{display:none}}.sphinxsidebar .repotoc-tree{display:none}@media(max-width: 105em){.localtoc{transition:.125s ease opacity;pointer-events:none;opacity:0;direction:rtl}.localtoc .tocwrapper{direction:ltr;transition:.25s ease width;box-shadow:-0.3rem 0 .3rem rgba(0,0,0,.1),0 0 .075rem rgba(0,0,0,.15);overflow-x:hidden;width:15rem}.localtoc .tocwrapper>div,.localtoc .tocwrapper>nav{width:20rem}#input-show-localtoc:checked~.localtoc{pointer-events:initial;opacity:1}#input-show-localtoc:checked~.localtoc .tocwrapper{width:20rem}}@media(max-width: 105em){#input-show-localtoc:checked~#cancel-area-show-localtoc{position:fixed;background:rgba(0,0,0,.05);top:0;left:0;right:0;bottom:0}}@media(max-width: 65em){#input-show-toc:checked~#cancel-area-show-toc{position:fixed;background:rgba(0,0,0,.05);top:0;left:0;right:0;bottom:0}}#input-show-toc,#input-show-localtoc,#input-show-repotoc,#input-switch-toc{display:none}.collapsible_input{display:none}.collapsible_content{overflow:auto;overflow:hidden;transition:ease opacity .25s}@media not print{.collapsible_content{height:0;opacity:0}.collapsible{border:1px solid rgba(125,125,125,.8);margin-bottom:.5em}.collapsible label{padding:.75em 1em .75em .75em}.collapsible label .icon{display:block}.collapsible table.docutils{border:none;width:100%}.collapsible_content{border-top:1px solid rgba(125,125,125,.8)}}@media print{.collapsible label{padding:.5em 0 .5em 0}.collapsible label .icon{display:none}}.collapsible_input:checked~label .icon{transform:rotate(45deg);margin-top:-0.5em}.collapsible_input:checked~.collapsible_content{height:100%;opacity:1}.collapsible{border-radius:.5rem}.collapsible label{width:100%;user-select:none;box-sizing:border-box;cursor:pointer;display:flex;align-items:center;justify-content:space-between}.collapsible label .icon{border:1px solid var(--text-color1);border-width:0 2px 2px 0;transition:transform ease .125s,margin-top ease .125s;width:.6em;height:.6em;transform:rotate(-45deg);z-index:-1;margin-left:.5em}.collapsible label p{margin:0;white-space:pre-wrap}.collapsible label,.collapsible div{transition:box-shadow ease .25s}.collapsible label:hover~div,.collapsible label:hover{box-shadow:0 .2rem .5rem rgba(0,0,0,.05),0 0 .0625rem rgba(0,0,0,.1)}.collapsible label div>p:first-child{font-weight:600}.collapsible .container>p{margin-left:.5em;margin-right:.5em}.admonition,.topic{margin:0 auto .5em auto;padding:0 0 .5em 0;background:var(--color-admonition-background);border-radius:.5rem;overflow:hidden;page-break-inside:avoid}.admonition>:nth-child(2),.topic>:nth-child(2){margin-top:.75em}.admonition p.admonition-title,p.topic-title{print-color-adjust:exact;-webkit-print-color-adjust:exact;margin:0;position:relative;margin-top:0;padding-left:2em;padding-top:.4em;padding-bottom:.4em;font-weight:500;line-height:1.3}.admonition p.admonition-title::before,p.topic-title::before{content:"";position:absolute;left:.5em;top:.5em;width:1em;height:1em}@media screen{p.admonition-title{background-color:var(--color-admonition-title-background)}p.admonition-title::before{background-color:var(--color-admonition-title);mask-image:var(--icon-admonition-default);mask-repeat:no-repeat}}@media print{p.admonition-title::before{opacity:.8}}p.topic-title{background-color:var(--color-topic-title-background)}p.topic-title::before{background-color:var(--color-topic-title);mask-image:var(--icon-topic-default);mask-repeat:no-repeat}.admonition p{margin:0;padding:0 .5em}@media screen{.admonition.caution{border-color:var(--color-admonition-title--caution)}.admonition.caution>.admonition-title{background-color:var(--color-admonition-title-background--caution)}.admonition.warning{border-color:var(--color-admonition-title--warning)}.admonition.warning>.admonition-title{background-color:var(--color-admonition-title-background--warning)}.admonition.danger{border-color:var(--color-admonition-title--danger)}.admonition.danger>.admonition-title{background-color:var(--color-admonition-title-background--danger)}.admonition.attention{border-color:var(--color-admonition-title--attention)}.admonition.attention>.admonition-title{background-color:var(--color-admonition-title-background--attention)}.admonition.error{border-color:var(--color-admonition-title--error)}.admonition.error>.admonition-title{background-color:var(--color-admonition-title-background--error)}.admonition.hint{border-color:var(--color-admonition-title--hint)}.admonition.hint>.admonition-title{background-color:var(--color-admonition-title-background--hint)}.admonition.tip{border-color:var(--color-admonition-title--tip)}.admonition.tip>.admonition-title{background-color:var(--color-admonition-title-background--tip)}.admonition.important{border-color:var(--color-admonition-title--important)}.admonition.important>.admonition-title{background-color:var(--color-admonition-title-background--important)}.admonition.note{border-color:var(--color-admonition-title--note)}.admonition.note>.admonition-title{background-color:var(--color-admonition-title-background--note)}.admonition.video{border-color:var(--color-admonition-title--video)}.admonition.video>.admonition-title{background-color:var(--color-admonition-title-background--video)}.admonition.seealso{border-color:var(--color-admonition-title--seealso)}.admonition.seealso>.admonition-title{background-color:var(--color-admonition-title-background--seealso)}.admonition.admonition-todo{border-color:var(--color-admonition-title--admonition-todo)}.admonition.admonition-todo>.admonition-title{background-color:var(--color-admonition-title-background--admonition-todo)}}@media print{.admonition-title{border-bottom:1px solid var(--color-admonition-title-background)}.admonition{break-inside:avoid}.admonition.caution>.admonition-title{border-color:var(--color-admonition-title-background--caution)}.admonition.warning>.admonition-title{border-color:var(--color-admonition-title-background--warning)}.admonition.danger>.admonition-title{border-color:var(--color-admonition-title-background--danger)}.admonition.attention>.admonition-title{border-color:var(--color-admonition-title-background--attention)}.admonition.error>.admonition-title{border-color:var(--color-admonition-title-background--error)}.admonition.hint>.admonition-title{border-color:var(--color-admonition-title-background--hint)}.admonition.tip>.admonition-title{border-color:var(--color-admonition-title-background--tip)}.admonition.important>.admonition-title{border-color:var(--color-admonition-title-background--important)}.admonition.note>.admonition-title{border-color:var(--color-admonition-title-background--note)}.admonition.video>.admonition-title{border-color:var(--color-admonition-title-background--video)}.admonition.seealso>.admonition-title{border-color:var(--color-admonition-title-background--seealso)}.admonition.admonition-todo>.admonition-title{border-color:var(--color-admonition-title-background--admonition-todo)}}.admonition{border:1px solid var(--color-admonition-title)}.admonition.caution{border-color:var(--color-admonition-title--caution)}.admonition.caution>.admonition-title{border-color:var(--color-admonition-title--caution)}.admonition.warning{border-color:var(--color-admonition-title--warning)}.admonition.warning>.admonition-title{border-color:var(--color-admonition-title--warning)}.admonition.danger{border-color:var(--color-admonition-title--danger)}.admonition.danger>.admonition-title{border-color:var(--color-admonition-title--danger)}.admonition.attention{border-color:var(--color-admonition-title--attention)}.admonition.attention>.admonition-title{border-color:var(--color-admonition-title--attention)}.admonition.error{border-color:var(--color-admonition-title--error)}.admonition.error>.admonition-title{border-color:var(--color-admonition-title--error)}.admonition.hint{border-color:var(--color-admonition-title--hint)}.admonition.hint>.admonition-title{border-color:var(--color-admonition-title--hint)}.admonition.tip{border-color:var(--color-admonition-title--tip)}.admonition.tip>.admonition-title{border-color:var(--color-admonition-title--tip)}.admonition.important{border-color:var(--color-admonition-title--important)}.admonition.important>.admonition-title{border-color:var(--color-admonition-title--important)}.admonition.note{border-color:var(--color-admonition-title--note)}.admonition.note>.admonition-title{border-color:var(--color-admonition-title--note)}.admonition.video{border-color:var(--color-admonition-title--video)}.admonition.video>.admonition-title{border-color:var(--color-admonition-title--video)}.admonition.seealso{border-color:var(--color-admonition-title--seealso)}.admonition.seealso>.admonition-title{border-color:var(--color-admonition-title--seealso)}.admonition.admonition-todo{border-color:var(--color-admonition-title--admonition-todo)}.admonition.admonition-todo>.admonition-title{border-color:var(--color-admonition-title--admonition-todo)}@media print{.admonition.caution>.admonition-title::before{background-image:var(--icon-spark)}.admonition.warning>.admonition-title::before{background-image:var(--icon-warning)}.admonition.danger>.admonition-title::before{background-image:var(--icon-spark)}.admonition.attention>.admonition-title::before{background-image:var(--icon-warning)}.admonition.error>.admonition-title::before{background-image:var(--icon-failure)}.admonition.hint>.admonition-title::before{background-image:var(--icon-question)}.admonition.tip>.admonition-title::before{background-image:var(--icon-info)}.admonition.important>.admonition-title::before{background-image:var(--icon-flame)}.admonition.note>.admonition-title::before{background-image:var(--icon-pencil)}.admonition.video>.admonition-title::before{background-image:var(--icon-video)}.admonition.seealso>.admonition-title::before{background-image:var(--icon-info)}.admonition.admonition-todo>.admonition-title::before{background-image:var(--icon-pencil)}}@media screen{.admonition.caution>.admonition-title::before{background-color:var(--color-admonition-title--caution);mask-image:var(--icon-spark)}.admonition.warning>.admonition-title::before{background-color:var(--color-admonition-title--warning);mask-image:var(--icon-warning)}.admonition.danger>.admonition-title::before{background-color:var(--color-admonition-title--danger);mask-image:var(--icon-spark)}.admonition.attention>.admonition-title::before{background-color:var(--color-admonition-title--attention);mask-image:var(--icon-warning)}.admonition.error>.admonition-title::before{background-color:var(--color-admonition-title--error);mask-image:var(--icon-failure)}.admonition.hint>.admonition-title::before{background-color:var(--color-admonition-title--hint);mask-image:var(--icon-question)}.admonition.tip>.admonition-title::before{background-color:var(--color-admonition-title--tip);mask-image:var(--icon-info)}.admonition.important>.admonition-title::before{background-color:var(--color-admonition-title--important);mask-image:var(--icon-flame)}.admonition.note>.admonition-title::before{background-color:var(--color-admonition-title--note);mask-image:var(--icon-pencil)}.admonition.video>.admonition-title::before{background-color:var(--color-admonition-title--video);mask-image:var(--icon-video)}.admonition.seealso>.admonition-title::before{background-color:var(--color-admonition-title--seealso);mask-image:var(--icon-info)}.admonition.admonition-todo>.admonition-title::before{background-color:var(--color-admonition-title--admonition-todo);mask-image:var(--icon-pencil)}}.admonition-todo>.admonition-title{text-transform:uppercase}a{text-decoration:none;color:inherit}.body p a,.body a.reference.internal:not(.image-reference),.body aside a,.body .line-block a{color:var(--accent-color);border-bottom:1px solid rgba(125,125,125,.25)}.body p a:hover,.body a.reference.internal:hover,.body aside a:hover,.body .line-block a:hover{border-color:var(--text-color2)}a.icon{margin:0;position:relative;margin-top:0;padding-left:1.5em;padding-top:.5em}a.icon::before{print-color-adjust:exact;-webkit-print-color-adjust:exact;content:"";position:absolute;left:0em;top:.25em;width:1.5em;height:1.5em}@media screen{a.icon::before{background-color:var(--color-link-title);mask-image:var(--icon-link-default);mask-repeat:no-repeat}}@media print{a.icon::before{background-image:var(--icon-link-default)}}@media screen{a.icon.adi::before{background-color:var(--color-link-title--adi);mask-image:var(--icon-adi)}a.icon.ez::before{background-color:var(--color-link-title--ez);mask-image:var(--icon-ez)}a.icon.git::before{background-color:var(--color-link-title--git);mask-image:var(--icon-git)}a.icon.other::before{background-color:var(--color-link-title--other);mask-image:var(--icon-external)}}@media print{a.icon.adi::before{background-image:var(--icon-adi)}a.icon.ez::before{background-image:var(--icon-ez)}a.icon.git::before{background-image:var(--icon-git)}a.icon.other::before{background-image:var(--icon-external)}}.search-area,.search-area-bg{top:0}.search-area{position:sticky}.search-area form{display:none}.search-area-bg{position:fixed;display:none}.search-area-bg.on{display:block}.search-area-bg{z-index:9;background:rgba(0,0,0,.35);left:0;right:0;bottom:0}.search-area{width:0;height:0;z-index:10;overflow:visible}.search-area.on form{display:flex}.search-area form{width:100vw;background:var(--bg-color1);padding:.5rem;height:3.5rem}.search-area form input{color:var(--text-color1);width:100%;padding-left:.5em}.search-area form button{cursor:pointer}.search-area form button:before{content:"";display:block;width:1.5rem;height:1.5rem;margin:.25rem;background:url("./icons.svg");filter:invert(var(--is-dark-theme))}.search-area form button:before{background-position:left -6rem top}@media(min-width: 65em){.search-area form{margin-left:calc(40vw - 15rem);width:calc(60vw + 15rem)}}@media(min-width: 105em){.search-area form{max-width:62rem}}footer{border-top:1px solid rgba(125,125,125,.8);padding:1em}@media screen{footer{background:var(--bg-color1)}}@media(min-width: 65em)and (max-width: 80em){footer{margin-left:18rem}}@media(min-width: 80em){footer{margin-left:calc(40vw - 14em)}}@media(min-width: 105em){footer{max-width:60rem}}.related{padding:1.5em .5em 1em .5em;display:flex;justify-content:space-between}.related a:hover{color:var(--accent-color)}.related a{display:flex;align-items:center}.related .prev{margin:0 auto 0 .5em}.related .next{margin:0 .5em 0 auto}.related .prev:before,.related .next:after{content:"";border:1px solid var(--text-color2);border-width:0 2px 2px 0;display:block;transition:transform ease .125s,margin-top ease .125s;width:.6em;height:.6em;z-index:-1}.related .prev:before{transform:rotate(135deg);margin-right:.5em}.related .next:after{transform:rotate(-45deg);margin-left:.5em}.sig-object{font-family:monospace;font-size:1rem}.sig-prename,.sig-name{color:var(--accent-color-code)}.sig-name,em.property{font-weight:600}em.sig-param,em.property{font-style:normal}.headerlink{color:var(--accent-color);opacity:0;transition:ease opacity .25s;padding-left:.25em}.sig-object{transition:ease background-color .25s;background-color:rgba(125,125,125,0);padding:.25em .5rem;border-radius:1rem}.sig-object:hover{background-color:rgba(125,125,125,.1)}.sig-object:hover .headerlink{opacity:1}@media not print{.only-print{display:none !important}}@media print{body{font-size:.8rem}.only-screen{display:none !important}header #left,header .reverse{display:none !important}body .highlight{background:none;border:1px solid var(--text-color3)}.body{padding:0}.localtoc,.sphinxsidebar,.banner,.search-area,.reverse{display:none}#right>span{width:100%}}@media print{.pdf-toctree{white-space:pre-wrap}.pdf-toctree .page{font-weight:bold;float:right}} + +/*# sourceMappingURL=style.min.css.map */ \ No newline at end of file diff --git a/main/_static/style.min.css.map b/main/_static/style.min.css.map new file mode 100644 index 000000000..d2acc1698 --- /dev/null +++ b/main/_static/style.min.css.map @@ -0,0 +1 @@ +{"version":3,"sourceRoot":"","sources":["file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/style.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/mixin_icons.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/mixin_admonition.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/mixin_links.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/variable.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/font.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/element.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/header.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/sidebar.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/collapsible.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/admonition.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/links.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/search.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/footer.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/related.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/code.scss","file:///home/runner/work/doctools/doctools/adi_doctools/theme/cosmic/style/print.scss"],"names":[],"mappings":"AAKA,MACI,+EACA,sFACA,mFACA,wBAGJ,KACI,uBAGJ,KC2BI,41NCVI,2CACA,qEADA,2CACA,qEADA,0CACA,oEADA,6CACA,uEADA,yCACA,mEADA,wCACA,iEADA,uCACA,gEADA,6CACA,uEADA,wCACA,kEADA,yCACA,mEADA,2CACA,sEADA,mDACA,+EAhBJ,kCACA,6DAEA,gDAIA,6BACA,wDAEA,yCCZI,iCACA,2DADA,gCACA,0DADA,iCACA,2DADA,mCACA,+DATJ,4BACA,uDAEA,qCHcJ,KACI,mBACA,oBACA,qBACA,8BACA,qBACA,qBACA,8BACA,8BACA,uBACA,oBACA,oBACA,wBACA,6BACA,qBACA,0BAGJ,UACI,mBACA,oBACA,6BACA,sBACA,qBACA,kBACA,8BACA,8BACA,uBACA,oBACA,oBACA,wBACA,6BACA,qBACA,0BAGJ,mCACI,iBACI,mBACA,oBACA,6BACA,sBACA,qBACA,kBACA,8BACA,8BACA,uBACA,oBACA,oBACA,wBACA,6BACA,qBACA,2BAIR,KACI,SACA,kBAGJ,cACI,KACI,kCACA,0BAIR,EACI,sBAGJ,MACI,WACA,cACA,gBAGJ,yBACE,iBACI,iBAIN,yBACI,iBACI,eAIR,wBACI,iBACI,eACA,aACA,YItGO,+BJuGP,MACA,YAIR,6CACI,iBACI,WAGJ,aACI,mBAIR,wBACI,iBACI,wBAGJ,aACI,gCAIR,wBACI,oBACE,aAGF,MACI,+BAIR,wBACI,MACI,mCAIR,QACI,kBACA,mBACA,uBAGJ,sBACI,eAGJ,IACI,cACA,cAGJ,YACI,cACA,kBAGJ,wBACI,2BACI,YACA,oBACA,iBAIR,wBACI,IACI,gBK/LR,WACI,qBACA,4DACA,gBACA,kBAGJ,WACI,qBACA,8DACA,gBACA,kBAGJ,WACI,oBACA,2DACA,mBACA,kBAGJ,WACI,oBACA,4DACA,gBACA,kBAGJ,KACI,mCAGJ,6BACI,oCACA,gBACA,SACA,sBAGJ,SACI,gBAGJ,SACI,gBAGJ,SACI,gBAGJ,SACI,gBAGJ,SACI,iBAGJ,SACI,gBAGJ,6BACI,oCACA,gBACA,gBAGJ,MACI,gBCtEJ,qCACI,gBACA,aACA,YACA,cAGJ,6CACI,0CAGJ,MACI,eAGJ,4BACI,yBAGJ,KACI,yBAGJ,sDAEI,kBACA,UACA,8BAGJ,kEAEI,UAGJ,aACI,uCACA,iCACA,cFdY,MEeZ,2BAGJ,cACI,aACI,iBAIR,aACI,aACI,gBAIR,EACI,uBAGJ,WACI,cACA,mBACA,cFrCY,MEsCZ,gBAGJ,gBACI,uBACA,WACA,cACA,kBACA,iBAGJ,6BACI,WACA,gBAGJ,eACI,mBAGJ,eACI,iBACA,cF5DY,ME6DZ,OFlEQ,gCEoER,qBACI,kCAGJ,kBACI,cF1EI,+BE6ER,kBACI,cF7EI,gCEgFR,iBACI,SACA,gBAGJ,oCACI,aAGJ,gCACI,YAGJ,0CACI,mBAIR,IACI,cACA,cACA,eAGJ,aACI,IACI,oBAIR,wBACI,UACI,cACA,cACA,eACA,aAEA,qBACI,WACA,WACA,kBAGJ,sBACI,YACA,YACA,kBAKZ,mCACI,2BACI,gBACA,cFjIQ,OEoIhB,oBACI,gBACA,cFtIY,MEyIhB,oBACI,cAIA,4BACI,eAIR,cAEQ,+CACI,eAKZ,IACI,SAGJ,gDAEI,yBAKA,iBACI,kBAGJ,sBACI,uBACA,mBACA,WAIR,OACI,YAGJ,cACI,+BACI,mBAKJ,qBACI,WFrMY,0DEsMZ,cF9LQ,ME+LR,gBACA,cACA,cACA,YACA,kBAIR,wBACI,cACI,WACA,iBAIR,6CACI,qBACI,0BACA,iCAIR,wBACI,qBACI,yBACA,iCAIR,aACI,mBACA,mBACA,+BACA,eACA,mBAEA,mBACI,WACA,YACA,mBACA,cACA,gCACA,yBACA,iCACA,wBAIR,wBACI,aACI,cAIR,wBACI,mBACI,eAIR,cACI,aACI,sBACA,iBAIR,aACI,aACI,mBACA,uBAIR,iBACI,kBAGJ,mCACI,2BAGJ,YACI,gCAGJ,YACI,wCAGJ,WACI,uCAGJ,aACI,6BCtTJ,QACI,gBACA,kCACA,+BACA,kBACA,WACA,kBAGJ,UACI,mBACA,gBACA,8CAGJ,gBACI,sCAGJ,WACI,cAGJ,OACI,UACA,gBACA,WACA,MACA,yBACA,iCAEA,2BACE,eAGF,mBACI,aACA,mBAGJ,2BACI,2BAGJ,oBACI,WACA,cACA,aACA,cACA,oBACA,8BACA,oCAGJ,sBACI,mCAGJ,qBACI,wCAGJ,aACI,gBACA,aACA,mBAGJ,cACI,oBAGJ,4BACI,kCACA,yCAGJ,6BACI,mCACA,yCAIR,cACI,OACI,cHpEO,gCGuEf,6CACI,2BACI,mBAIR,wBACI,2BACI,gCAIR,sBACI,gBACA,WACA,oBACA,SACA,UACA,UACA,QACA,6BACA,mBAEA,2BACI,4BACA,gBACA,gBACA,2BACA,YACA,aACA,sBAGJ,wBACI,eACA,cACA,kBACA,sBACA,4BACA,cAGJ,8BACI,0BACA,iCAGJ,gCACI,0BAKR,oDACI,qCAGJ,0DACI,sCAGJ,2BACI,qCAGJ,mCACI,qBACI,qCAGJ,4BACI,yCAIR,yBACI,sBACI,cAKJ,oCACI,OACI,cH3JG,gCGwJf,wBAOI,OACI,aACA,YAKJ,oCACI,OACI,oCAKZ,OACI,cAEA,cACI,aACA,8BAGJ,iBACI,qBACA,eACA,cACA,gBACA,sDACA,cAGJ,yBACI,0BACA,aHhMO,+BGiMP,eAGJ,aACI,oBACA,cACA,gBACA,aACA,mBACA,iBAGJ,iBACI,8CAIR,oBACI,kCAGJ,mCACI,2BACI,mCAIR,wBACI,OACI,0BAEJ,cACI,YAIR,wBACI,OACI,4BAGJ,aACI,aAGJ,cACI,kBAIR,6CACI,cACI,mBAIR,wBACI,cACI,gCAIR,yBACI,cACI,iBAIR,wBACI,sBACI,cAKJ,qBACI,aACA,eACA,mBACA,kBACA,mBACA,qCACA,iBAGJ,2BACI,OHvRO,+BG0RX,2BACI,oCACA,yBACA,cACA,WACA,kDACA,WACA,YACA,yBACA,eACA,iBAKR,aACI,qBACI,aAEJ,aACI,kBAIR,wBACI,qBACI,aAEJ,aACI,kBAIR,uDACI,wBACA,gBACA,6BACA,kBAGJ,wBACI,6CACI,eACA,WAIR,6CACI,uBACA,UAGJ,+CACI,mBAGJ,uCACI,kBClWJ,eACI,QAGJ,sBACI,uBACA,gBACA,4BAGJ,yBACI,UACA,gBACA,WACA,SAGJ,wBACI,6BACI,kBAIR,wBACI,6BACI,cAIR,wBACI,eACI,+CACA,oBACA,kBACA,UAGJ,sBACI,0BACA,mCACA,WJ5BkB,yDI6BlB,YAGJ,uCACI,uBACA,cACA,UAGJ,wBACI,kBAGJ,iDACI,yBAIR,6CACI,cACA,gBAGJ,wBACI,gBACA,oBAGJ,6CACI,eACI,WACA,WAGJ,uCACI,WJhEkB,0DIoE1B,sGAEI,UAGJ,8EAEI,UAGJ,wBACI,eACI,YACA,aAIR,kBACI,qBACA,mBAGJ,6BACI,yBAGJ,kBACI,aAGJ,wBACI,oBACE,aAGF,sBACI,0BACH,aAGL,6CACI,sBACI,8BAIR,wBACI,sBACI,4CAIR,wBACI,wBACI,aAIR,uCACI,mBAEA,2DACI,oBAGJ,6CACI,UACA,SAGJ,mDACI,eACA,cACA,kBACA,cACA,aAGJ,iFACI,aAGJ,iGACI,cAGJ,2DACI,aAGJ,2CACI,qBACA,mBACA,WACA,uBACA,oBACA,cJzJc,KI0Jd,eACA,+BAGJ,uDACI,0BAGJ,2DACI,iCACA,0BAGJ,2EACI,4CAGJ,sHAEI,kCAGJ,mFACI,oCACA,yBACA,cACA,kDACA,WACA,YACA,yBACA,eACA,iBAGJ,2HACI,wBACA,gBACA,gBAGJ,mDACI,cJnMc,KIuMtB,UACI,WACA,SACA,QACA,WAGJ,YACI,4BACA,uBACA,iBACA,eACA,gBACA,4BAEA,eACI,qBACA,mBAGJ,cACI,qBACA,gBAGJ,4BACE,sBAGF,sBACE,0BACA,iBACA,wBAGF,gBACI,gBACA,2CACA,yBACA,mBAGJ,6BACI,kBACA,yBAGJ,oCAEE,aAGF,gBACI,aACA,mBAGJ,uBACE,eACA,oCACA,mBACA,YAGF,8BACI,WACA,cACA,aACA,cACA,cACA,8BAGJ,8BACI,qCAMR,yBACI,YACI,iCAGJ,UACI,iCAIR,wBAEQ,sDACI,cAMR,sDACI,eACA,iBACA,mBACA,4BAGJ,6BACI,gCAGJ,yBACI,+BAGJ,mEACI,WACA,cACA,aACA,cACA,8BACA,oCAGJ,oCACI,wCAGJ,+BACI,qCAIR,wBACI,wCACI,cAGJ,oCACI,aAGJ,UACI,aAGJ,kDAEI,aACA,sBAGJ,wCACI,cAIR,6BACI,aAGJ,yBACI,UACI,8BACA,oBACA,UACA,cAEA,sBACI,cACA,2BACA,WJ3Xe,2DI4Xf,kBACA,YAGJ,oDACI,YAIR,uCACI,uBACA,UAGJ,mDACI,aAIR,yBACI,wDACI,eACA,2BACA,MACA,OACA,QACA,UAIR,wBACI,8CACI,eACA,2BACA,MACA,OACA,QACA,UAIR,2EAII,aCtbJ,mBACI,aAGJ,qBACI,cACA,gBACA,6BAGJ,iBACI,qBACI,SACA,UAGJ,aACI,OLFO,+BKGP,mBAEA,mBACI,8BAGJ,yBACI,cAGJ,4BACI,YACA,WAIR,qBACI,WLpBO,gCKwBf,aAEQ,mBACI,sBAGJ,yBACI,cAMZ,uCACI,wBACA,kBAGJ,gDACI,YACA,UAGJ,aACI,cLzCY,MK2CZ,mBACI,WACA,iBACA,sBACA,eACA,aACA,mBACA,8BAGJ,yBACI,oCACA,yBACA,sDACA,WACA,YACA,yBACA,WACA,iBAGJ,qBACI,SACA,qBAGJ,oCACI,gCAGJ,sDACI,WLlFY,0DKqFhB,qCACI,gBAGJ,0BACI,iBACA,kBCvGR,mBACI,wBACA,mBAEA,8CAEA,cNcY,MMZZ,gBACA,wBAGA,+CACI,iBAIR,6CAEI,yBACA,iCACA,SACA,kBACA,aACA,iBACA,iBACA,oBAEA,gBACA,gBAGA,6DACI,WACA,kBACA,UACA,SACA,UACA,WAKR,cACI,mBACI,0DACA,2BACI,+CACA,0CACA,uBAIZ,aAEQ,2BACI,YAKZ,cACI,qDACA,sBACI,0CACA,qCACA,sBAIR,cACI,SACA,eAIJ,cAGY,oBACI,oDACA,sCACI,mEAHR,oBACI,oDACA,sCACI,mEAHR,mBACI,mDACA,qCACI,kEAHR,sBACI,sDACA,wCACI,qEAHR,kBACI,kDACA,oCACI,iEAHR,iBACI,iDACA,mCACI,gEAHR,gBACI,gDACA,kCACI,+DAHR,sBACI,sDACA,wCACI,qEAHR,iBACI,iDACA,mCACI,gEAHR,kBACI,kDACA,oCACI,iEAHR,oBACI,oDACA,sCACI,mEAHR,4BACI,4DACA,8CACI,4EAOpB,aACI,kBACI,iEAGJ,YACI,mBAIQ,sCACI,+DADJ,sCACI,+DADJ,qCACI,8DADJ,wCACI,iEADJ,oCACI,6DADJ,mCACI,4DADJ,kCACI,2DADJ,wCACI,iEADJ,mCACI,4DADJ,oCACI,6DADJ,sCACI,+DADJ,8CACI,wEAOpB,YACI,+CAGI,oBACI,oDACA,sCACI,oDAHR,oBACI,oDACA,sCACI,oDAHR,mBACI,mDACA,qCACI,mDAHR,sBACI,sDACA,wCACI,sDAHR,kBACI,kDACA,oCACI,kDAHR,iBACI,iDACA,mCACI,iDAHR,gBACI,gDACA,kCACI,gDAHR,sBACI,sDACA,wCACI,sDAHR,iBACI,iDACA,mCACI,iDAHR,kBACI,kDACA,oCACI,kDAHR,oBACI,oDACA,sCACI,oDAHR,4BACI,4DACA,8CACI,4DAMhB,aAKoB,8CACI,mCADJ,8CACI,qCADJ,6CACI,mCADJ,gDACI,qCADJ,4CACI,qCADJ,2CACI,sCADJ,0CACI,kCADJ,gDACI,mCADJ,2CACI,oCADJ,4CACI,mCADJ,8CACI,kCADJ,sDACI,qCAQxB,cAKoB,8CACI,wDACA,6BAFJ,8CACI,wDACA,+BAFJ,6CACI,uDACA,6BAFJ,gDACI,0DACA,+BAFJ,4CACI,sDACA,+BAFJ,2CACI,qDACA,gCAFJ,0CACI,oDACA,4BAFJ,gDACI,0DACA,6BAFJ,2CACI,qDACA,8BAFJ,4CACI,sDACA,6BAFJ,8CACI,wDACA,4BAFJ,sDACI,gEACA,+BAQxB,mCACI,yBCxJJ,EACI,qBACA,cAIA,6FACI,0BACA,8CAGJ,+FACI,gCAIR,OACI,SACA,kBACA,aACA,mBACA,iBAGA,eACI,yBACA,iCACA,WACA,kBACA,SACA,UACA,YACA,aAKR,cAEQ,eACI,yCACA,oCACA,uBAKZ,aAEQ,eACI,2CAMZ,cAIgB,mBACI,8CACA,2BAFJ,kBACI,6CACA,0BAFJ,mBACI,8CACA,2BAFJ,qBACI,gDACA,iCAOpB,aAIgB,mBACI,iCADJ,kBACI,gCADJ,mBACI,iCADJ,qBACI,uCC5EpB,6BACI,MAGJ,aACI,gBAEJ,kBACI,aAGJ,gBACI,eACA,aAGJ,mBACI,cAGJ,gBACI,UACA,2BACA,OACA,QACA,SAGJ,aACI,QACA,SACA,WACA,iBAGJ,qBACI,aAGJ,kBACI,YACA,4BACA,cACA,cAGA,wBACI,yBACA,WACA,kBAGJ,yBACI,eAGJ,gCACI,WACA,cACA,aACA,cACA,cACA,8BACA,oCAGJ,gCACI,mCAIR,wBACI,kBACI,+BACA,0BAIR,yBACI,kBACI,iBChFR,OACI,WTgBW,+BSfX,YAGJ,cACI,OACI,6BAIR,6CACI,OACI,mBAIR,wBACI,OACI,+BAIR,yBACI,OACI,iBCzBR,SACI,4BACA,aACA,8BAEA,iBACI,0BAGJ,WACI,aACA,mBAGJ,eACI,qBAGJ,eACI,qBAGJ,2CACI,WACA,oCACA,yBACA,cACA,sDACA,WACA,YACA,WAGJ,sBACI,yBACA,kBAGJ,qBACI,yBACA,iBCxCR,YACI,sBACA,eAGJ,uBACI,+BAGJ,sBACI,gBAGJ,yBACI,kBAGJ,YACI,0BACA,UACA,6BACA,mBAGJ,YACI,sCACA,qCACA,oBACA,mBAGJ,kBACI,sCAGJ,8BACI,UCpCJ,iBACI,YACI,yBAIR,aACI,KACI,gBAGJ,aACI,wBAGJ,6BACI,wBAGJ,gBACI,gBACA,oCAGJ,MACI,UAGJ,uDAEI,aAGJ,YACI,YAIR,aACI,aACI,qBAEA,mBACI,iBACA","file":"style.min.css"} \ No newline at end of file diff --git a/main/attr/index.html b/main/attr/index.html new file mode 100644 index 000000000..3107ce4b0 --- /dev/null +++ b/main/attr/index.html @@ -0,0 +1,343 @@ + + + + + + + + Attributes — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Attributes#

+

To simplify hardware configuration through different IIO drivers, basic class properties are exposed at the top-level of each device specific class. These properties abstract away the need to know a specific channel name, attribute type, source device name, and other details required in the libIIO API. Instead properties have easy to understand names, documentation, and error handling to help manage interfacing with different hardware. Property data can be read and written as follows from a given device interface class:

+
import adi
+
+lidar = adi.fmclidar1()
+# Read current pulse width
+print(lidar.laser_pulse_width)
+# Change laser frequency to 1 MHz
+lidar.laser_frequency = 1000000
+
+
+

If more detail is required about a specific property it can be directly inspected in the class definitions documnentation or in python itself through the help methods:

+
python3
+>>> import adi
+>>> help(adi.Pluto.gain_control_mode_chan0)
+Help on property:
+    gain_control_mode_chan0: Mode of receive path AGC. Options are:
+    slow_attack, fast_attack, manual
+
+
+

For complete documentation about class properties reference the supported devices classes.

+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/buffers/index.html b/main/buffers/index.html new file mode 100644 index 000000000..d5eeec6fd --- /dev/null +++ b/main/buffers/index.html @@ -0,0 +1,656 @@ + + + + + + + + Buffers — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

Buffers#

+

Using buffers or transmitting and receiving data is done through interacting with two methods.

+

For receivers this is the rx method. How data is captured and therefore produced by this method is dependent on two main properties:

+
    +
  • rx_enabled_channels: This is an array of integers (or channel names) and the number of elements in the array will determine the number of list items returned by rx. For devices with complex data types these are the indexes of the complex channels, not the individual I or Q channels. When len(rx_enabled_channels) == 1, rx will return just a single array and not a single array within a list.

  • +
  • rx_buffer_size: This is the number of samples returned in each array within the list. If the device produces complex data, like a transceiver, it will return complex data. This is defined by the author of each device specific class.

  • +
+

For transmitters this is the tx method. How data is sent and therefore must be passed by this method is dependent on one main property:

+
    +
  • tx_enabled_channels: This is an array of integers and the number of elements in the array will determine the number of items in the list to be submitted to tx. Like for rx_enabled_channels, devices with complex data types these are the indexes of the complex channels, not the individual I or Q channels. When only a single channel is enabled the data can be passed to tx as just an array and not an array within a list.

  • +
+

rx_enabled_channels must have a length greater than zero but tx_enabled_channels can be set to None or an empty list. In this case when tx is called it must be called without inputs. This is a special case and will connect a zero source into the TX input stream within the FPGA for FPGA based devices. For background on how this internally works with FPGA based devices reference the generic DAC driver.

+
+

Cyclic Mode#

+

In many cases, it can be useful to continuously transmit a signal over and over, even for just debugging and testing. This can be especially handy when the hardware you are using has very high transmit or receive rates, and therefore impossible to keep providing data to in real-time. To complement these use cases it is possible to create transmit buffer which repeats, which we call cyclic buffers. Cyclic buffers are identical or normal or non-cyclic buffers, except when they reach hardware they will continuously repeat or be transmitted. Here is a small example on how to create a cyclic buffer:

+
import adi
+
+sdr = adi.ad9361()
+# Create a complex sinusoid
+fc = 3000000
+N = 1024
+ts = 1 / 30000000.0
+t = np.arange(0, N * ts, ts)
+i = np.cos(2 * np.pi * t * fc) * 2 ** 14
+q = np.sin(2 * np.pi * t * fc) * 2 ** 14
+iq = i + 1j * q
+# Enable cyclic buffers
+sdr.tx_cyclic_buffer = True
+# Send data cyclically
+sdr.tx(iq)
+
+
+

At this point, the transmitter will keep transmitting the create sinusoid indefinitely until the buffer is destroyed or the sdr object destructor is called. Once data is pushed to hardware with a cyclic buffer the buffer must be manually destroyed or an error will occur if more data push. To update the buffer use the tx_destroy_buffer method before passing a new vector to the tx method.

+
+
+

Annotated Buffers#

+

By default buffers appear as an array or a list of arrays. This can be confusing if all your channels do not produce similar data. For example, for IMUs like ADI16495 certain channels are for acceleration data and others are for angular velocity. To label this data the rx_annotated property can be used. When setting it to True the output of the rx method will be a dictionary with keys as channel names. Here an example:

+
import adi
+
+dev = adi.adis16495()
+dev.rx_enabled_channels = [0, 3]
+print(dev.rx())
+dev.rx_annotated = True
+print(dev.rx())
+
+
+

With output

+
[array([    35681,     84055,   -175914,   -203645,    698249,    -51670,
+      -1770250,   1529968,   2586191,  -5353355,   -827741,  11736339,
+      -9847894, -17242014,  97421833, 277496774], dtype=int32),
+array([     49151,     753663,    3571711,    9928703,   18956287,
+         25165823,   18612223,  -10125313,  -60850176, -114491392,
+      -131350528,  -61521920,  135069695,  466845695,  899235839,
+      1362378751], dtype=int32)]
+{'accel_x': array([1775091711, 2072264703, 2147483647, 2147483647, 2147483647,
+      2147483647, 2143404031, 2125430783, 2123120639, 2130821119,
+      2139488255, 2144911359, 2147041279, 2147467263, 2147483647,
+      2147483647], dtype=int32),
+'anglvel_x': array([357750219, 335109279, 323033231, 337667193, 337100396, 330408402,
+      333459194, 335322576, 333247166, 333223475, 333996322, 333805525,
+      333659152, 333664680, 333718473, 333895650], dtype=int32)}
+
+
+
+
+

Buffer Units#

+

For certain devices it is possible to convert types to scientific units, such as volts, degrees, or meters per second among others. This is controlled by setting the property rx_output_type to either raw or SI. If set to SI, returned data from the rx method will be in scientific units (assuming its supported by the driver). Below is an example using an IMU:

+
import adi
+
+dev = adi.adis16495()
+dev.rx_annotated = True  # Make channel names appear in data
+dev.rx_enabled_channels = [3]  # channel 0 is angular velocity in the x direction
+print(dev.rx())
+dev.rx_output_type = "SI"
+print(dev.rx())
+
+
+

With output

+
{'anglvel_x': array([    35644,     84039,   -175647,   -203867,    697612,    -50201,
+       -1770177,   1526291,   2589741,  -5349126,   -839188,  11738313,
+       -9824911, -17267701,  97333042, 277410285], dtype=int32)}
+{'anglvel_x': array([9.29996712, 9.71257202, 9.40097973, 9.78345151, 9.77009362,
+      9.59662456, 9.67300333, 9.71593538, 9.65847317, 9.6580597 ,
+      9.68022501, 9.67715545, 9.67511814, 9.67609361, 9.67323293,
+      9.67104074])}
+
+
+

To understand the exact scaling the driver documentation should be reviewed.

+
+
+

Members#

+
+
+adi.rx_tx.are_channels_complex(channels: List[str] | List[Channel]) bool#
+

Check if channels are complex or not

+
+
Args:

channels: List of channel names or iio.Channel objects

+
+
+
+ +
+
+class adi.rx_tx.rx(rx_buffer_size=1024)#
+
+ +
+
+class adi.rx_tx.rx_core(rx_buffer_size=1024)#
+

Buffer handling for receive devices

+
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+ +
+
+class adi.rx_tx.rx_def(*args: str | Context, **kwargs: str | Context)#
+

Template metaclass for rx only device specific interfaces.

+
+ +
+
+class adi.rx_tx.rx_tx#
+
+ +
+
+class adi.rx_tx.rx_tx_common#
+

Common functions for RX and TX

+
+ +
+
+class adi.rx_tx.rx_tx_def(*args: str | Context, **kwargs: str | Context)#
+

Template metaclass for rx and tx device specific interfaces.

+
+ +
+
+class adi.rx_tx.shared_def(*args: str | Context, **kwargs: str | Context)#
+

Shared components for rx and tx metaclasses.

+
+ +
+
+class adi.rx_tx.tx(tx_cyclic_buffer=False)#
+
+ +
+
+class adi.rx_tx.tx_core(tx_cyclic_buffer=False)#
+

Buffer handling for transmit devices

+
+
+tx(data_np=None)#
+

Transmit data to hardware buffers for each channel index in +tx_enabled_channels.

+
+
args: type=numpy.array or list of numpy.array

An array or list of arrays when more than one transmit channel +is enabled containing samples from a channel or set of channels. +Data must be complex when using a complex data device.

+
+
+
+ +
+
+property tx_channel_names#
+

tx_channel_names: Names of the transmit channels

+
+ +
+
+property tx_cyclic_buffer#
+

tx_cyclic_buffer: Enable cyclic buffer for TX

+
+ +
+
+tx_destroy_buffer()#
+

tx_destroy_buffer: Clears TX buffer

+
+ +
+
+property tx_enabled_channels#
+

tx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +tx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+ +
+
+class adi.rx_tx.tx_def(*args: str | Context, **kwargs: str | Context)#
+

Template metaclass for rx only device specific interfaces.

+
+ +
+
+

Buffer Examples#

+

Collect data from one channel

+
import adi
+
+sdr = adi.ad9361()
+# Get complex data back
+sdr.rx_enabled_channels = [0]
+chan1 = sdr.rx()
+
+
+

Collect data from two channels

+
import adi
+
+sdr = adi.ad9361()
+# Get both complex channel back
+sdr.rx_enabled_channels = [0, 1]
+data = sdr.rx()
+chan1 = data[0]
+chan2 = data[1]
+
+
+

Send data on two channels

+
import adi
+import numpy as np
+
+# Create radio
+sdr = adi.ad9371()
+sdr.tx_enabled_channels = [0, 1]
+# Create a sinewave waveform
+N = 1024
+fs = int(sdr.tx_sample_rate)
+fc = 40000000
+ts = 1 / float(fs)
+t = np.arange(0, N * ts, ts)
+i = np.cos(2 * np.pi * t * fc) * 2 ** 14
+q = np.sin(2 * np.pi * t * fc) * 2 ** 14
+iq = i + 1j * q
+fc = -30000000
+i = np.cos(2 * np.pi * t * fc) * 2 ** 14
+q = np.sin(2 * np.pi * t * fc) * 2 ** 14
+iq2 = i + 1j * q
+# Send data to both channels
+sdr.tx([iq, iq2])
+
+
+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/dev/index.html b/main/dev/index.html new file mode 100644 index 000000000..95d4c61fc --- /dev/null +++ b/main/dev/index.html @@ -0,0 +1,519 @@ + + + + + + + + Developers — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

Developers#

+
+

Warning

+

This section is only for developers and advanced users.

+
+

When submitting code or running tests, there are a few ways things are done in pyadi-iio.

+
+

Invoke#

+

To make repetitve tasks easier, pyadi-iio utilizes pyinvoke. To see the available options (once pyinvoke is installed) run:

+
invoke --list
+Available tasks:
+
+  build           Build python package
+  builddoc        Build sphinx doc
+  changelog       Print changelog from last release
+  checkparts      Check for missing parts in supported_parts.md
+  createrelease   Create GitHub release
+  libiiopath      Search for libiio python bindings
+  precommit       Run precommit checks
+  setup           Install required python packages for development through pip
+  test            Run pytest tests
+
+
+
+
+

Precommit#

+

pre-commit is heavily relied on for keeping code in order and for eliminating certain bugs. Be sure to run these checks before submitting code. This can be run through pyinvoke or directly from the repo root as:

+
invoke precommit
+
+
+
pre-commit run --all-files
+
+
+
+
+

Testing#

+

Testing pyadi-iio requires hardware, but fortunately by default it assumes no hardware is connected unless found. It will only load specific tests for hardware it can find and skip all other tests. pytest, which is the framework pyadi-iio uses, can be call as following:

+
invoke test
+
+
+
python3 -m pytest <add more arguments as needed>
+
+
+
+

Test Configuration#

+

There are several advanced features of pytest that are utilized by pyadi-iio. Specifically custom markers and custom plugins.

+

Markers are a way of labeling tests, which can be then used to filter specific tests. Markers are provided through the test_map.py file in the test directory. These markers are used to map FPGA based boards with daughtercards to specific tests. Reference design folder names from the ADI SD cards are using as the markers, which them can be passed through the -m flag to enabled certain tests. For example, the following would enable all tests related to ADRV9009, assuming the hardware is available:

+
python3 -m pytest -m zynqmp-zcu102-rev10-adrv9009
+
+
+

To help manage libiio contexts, filter tests based on those contexts, and map drivers to board definitions, pyadi-iio utilizes the pytest plugin pytest-libiio. This must be installed before tests are run since all test implementations rely on pytest-libiio fixtures. Generally, pyadi-iio will also use the standard hardware map provided by pytest-libiio to map drivers to board definitions. To enable the hardware make requires the –adi-hw-map flag as:

+
python3 -m pytest --adi-hw-map
+
+
+

If you are working on a driver or board that is not in the hardware map, a custom one can be created as documentation in the pytest-libiio CLI.

+
+
+

New Hardware Requirements#

+

In order to maintain pyadi-iio, for all new drivers the development team will require emulation contexts to be submitted alongside the new class interfaces. This is to ensure that the new drivers are tested and maintained. Emulation contexts can be created using xml_gen. CI will automatically validate that all hardware interfaces have emulation contexts and prevent merging if they are missing.

+
+

Note

+

Note that xml_gen is not the same as iio_genxml, as iio_genxml does not capture default values of properties required for emulation.

+
+
+
+

Test Functions and Fixtures#

+

pyadi-iio has a large set of parameterizable fixtures for testing different device specific class interfaces. See the links belows to the different test categories:

+ +
+
+
+

Set Up Isolated Environment#

+

This section will discuss a method to do isolated development with the correct package versions. The main purpose here is to eliminate any discrepancies that can arise (especially with the linting tools) when running precommit and other checks. This is also useful to not pollute your local global packages. The approach here relies upon leveraging pyenv and pipenv together.

+
+

Install pyenv#

+

pyenv is a handy tool for installing different and isolated versions of python on your system. Since distributions can ship with rather random versions of python, pyenv can help us install exactly the versions we want. The quick way to install pyenv is with their bash script:

+
curl https://pyenv.run | bash
+
+
+

Add to your path and shell startup script (.bashrc, .zshrc, …)

+
export PATH="/home/<username>/.pyenv/bin:$PATH
+eval "$(pyenv init -)"
+eval "$(pyenv virtualenv-init -)"
+
+
+

Install the desired python version

+
pyenv install 3.6.9
+
+
+
+
+

Create isolated install with pipenv#

+

Get the repo, set python version, and setup env

+
pip3 install -U pipenv
+pyenv local 3.6.9
+git clone git@github.com:analogdevicesinc/pyadi-iio.git
+pipenv install
+pipenv shell
+pipenv install -r requirements.txt
+pipenv install -r requirements_dev.txt
+
+
+

Now at this point we have all the necessary development packages to start working. If you close the current shell you will lose the environment. To return to it, go to the project folder and run:

+
cd <project folder>
+pyenv local 3.6.9
+pipenv shell
+
+
+
+
+
+

Emulation#

+

By leveraging iio-emu, hardware or contexts can be emulated for testing without physical devices. However, currently this emulation does not validate attribute rates, states of drivers, or equivalent data sources. This feature should be used to test a library itself rather than hardware drivers.

+

pyadi-iio uses iio-emu through pytest-libiio, which handles loading the correct context files based on the fixtures used for each test. Essentially, when pytest is run, based on the fixture below, pytest-libiio will spawn the correct context with iio-emu and pass the URI of that context to the test.

+
import pytest
+import iio
+
+
+@pytest.mark.iio_hardware("pluto", False)  # Set True disables test during emulation
+def test_libiio_device(iio_uri):
+    ctx = iio.Context(iio_uri)
+    ...
+
+
+

To create and add more context files for testing with pyadi-iio follow this page.

+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/dev/test_attr.html b/main/dev/test_attr.html new file mode 100644 index 000000000..215d3fa4c --- /dev/null +++ b/main/dev/test_attr.html @@ -0,0 +1,867 @@ + + + + + + + + Attribute Tests — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

Attribute Tests#

+

Functions used by test fixtures for evaluating attributes and driver state.

+
+
+test.attr_tests.attribute_check_range_readonly_with_depends(uri, classname, attr, depends, start, stop)#
+

attribute_check_range_readonly_with_depends: Read only integer class +property with dependent write properties

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
depends: type=dict

Dictionary of properties to write before value is written. Keys +are properties and values are values to be written

+
+
start: type=integer

Lower bound of possible values attribute can be

+
+
stop: type=integer

Upper bound of possible values attribute can be

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_check_range_singleval_with_depends(uri, classname, attr, depends, start, stop, step, tol, repeats=1, sub_channel=None)#
+

attribute_check_range_singleval_with_depends: +Write and read back integer class property with dependent write properties +This is performed a defined number of times and the value written +is randomly determined based in input parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
depends: type=dict

Dictionary of properties to write before value is written. Keys +are properties and values are values to be written

+
+
start: type=integer

Lower bound of possible values attribute can be

+
+
stop: type=integer

Upper bound of possible values attribute can be

+
+
step: type=integer

Difference between successive values attribute can be

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_multiple_values(uri, classname, attr, values, tol, repeats=1, sleep=0, sub_channel=None)#
+

attribute_multiple_values: Write and read back multiple class properties +in a loop where all values are pre-defined. This is performed a defined +number of times.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
values: type=list

A list of values to write and check as attributes

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of times to repeatedly write values

+
+
sleep: type=integer

Seconds to sleep between writing to attribute and reading it back

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_multiple_values_available_readonly(uri, classname, attr)#
+

attribute_multiple_values_available_readonly: +Read only class property where the available attribute values are returned.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_multiple_values_device_channel(uri, classname, device_name, channel, attr, values, tol, repeats=1, sleep=0, sub_channel=None)#
+

attribute_multiple_values_device_channel: Write and read back multiple class properties +in a loop where all values are pre-defined and device name and channel are specified. +This is performed a defined number of times.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
device_name: type=string

Device name of target board/system

+
+
channel: type=string

Channel name of the attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
values: type=list

A list of values to write and check as attributes

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of times to repeatedly write values

+
+
sleep: type=integer

Seconds to sleep between writing to attribute and reading it back

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_multiple_values_error(uri, classname, attr, values, tol, repeats=1, sleep=0, sub_channel=None)#
+

attribute_multiple_values_error: Write multiple class properties +in a loop where all values are pre-defined and expected to raise an error. +This is performed a defined number of times.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
values: type=list

A list of values to write and check as attributes

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of times to repeatedly write values

+
+
sleep: type=integer

Seconds to sleep between writing to attribute and reading it back

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_multiple_values_with_depends(uri, classname, attr, depends, values, tol, repeats=1)#
+

attribute_multiple_values_with_depends: Write and read back multiple class +properties in a loop where all values are pre-defined, where a set of +dependent attributes are written first. This is performed a defined +number of times.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
depends: type=list

A list of dependent values to write and check as attributes

+
+
values: type=list

A list of values to write and check as attributes

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of times to repeatedly write values

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_readonly_with_depends(uri, classname, attr, depends)#
+

attribute_readonly_with_depends: Read only class +property with dependent write properties

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
depends: type=dict

Dictionary of properties to write before value is written. Keys +are properties and values are values to be written

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value(uri, classname, attr, start, stop, step, tol, repeats=1, sub_channel=None)#
+

attribute_single_value: +Write and read back integer class property +This is performed a defined number of times and the value written +is randomly determined based in input parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
start: type=integer

Lower bound of possible values attribute can be

+
+
stop: type=integer

Upper bound of possible values attribute can be

+
+
step: type=integer

Difference between successive values attribute can be

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_boolean(uri, classname, attr, value)#
+

attribute_single_value_boolean: Write and read back boolean class property

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
val: type=string

Value to write and read back from attribute

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_boolean_readonly(uri, classname, attr)#
+

attribute_single_value_boolean: Read boolean class property

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_channel_readonly(uri, classname, channel, attr)#
+

attribute_single_value: +Read only class property where the channel name is specified.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=string

Channel name of the target board/system

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_device_name_channel_readonly(uri, classname, device_name, channel, attr)#
+

attribute_single_value: +Read only class property with device name and channel parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
device_name: type=string

Device name of target board/system

+
+
channel: type=string

Channel name of the target board/system

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_pow2(uri, classname, attr, max_pow, tol, repeats=1)#
+

attribute_single_value_pow2: Write and read back integer class property +where the integer is a power of 2. This is performed a defined +number of times and the value written is randomly determined based +in input parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
max_pow: type=integer

Largest power of 2 attribute allow to be

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_range_channel(uri, classname, device_name, channel, attr, start, stop, step, tol, repeats=1, sub_channel=None)#
+

attribute_single_value_range_channel: +Write and read back integer class property +This is performed a defined number of times and the value written +is randomly determined based in input parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
device_name: type=string

Device name of target board/system

+
+
channel: type=string

Channel name of the attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
start: type=integer

Lower bound of possible values attribute can be

+
+
stop: type=integer

Upper bound of possible values attribute can be

+
+
step: type=integer

Difference between successive values attribute can be

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_readonly(uri, classname, attr, lower, upper, repeats=1, sub_channel=None)#
+

attribute_single_value: +Write and read back integer class property +This is performed a defined number of times and the value written +is randomly determined based in input parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
lower: type=integer or float

Lower bound of possible values attribute can be

+
+
upper: type=integer or float

Upper bound of possible values attribute can be

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
sub_channel: type=string

Name of sub channel (nested class) to be tested

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_single_value_str(uri, classname, attr, val, tol)#
+

attribute_single_value_str: Write and read back string class property

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
val: type=string

Value to write and read back from attribute

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_write_only_str(uri, classname, attr, value)#
+

attribute_write_only_str: Write only string class property

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
value: type=string

Value to write into attr property

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_write_only_str_device_channel(uri, classname, device_name, channel, attr, value)#
+
+
attribute_write_only_str_device_channel: Write only string class property

with device name and channel parameters

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
device_name: type=string

Device name of target board/system

+
+
channel: type=string

Channel name of the target board/system

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
value: type=string

Value to write into attr property

+
+
+
+
+
+ +
+
+test.attr_tests.attribute_write_only_str_with_depends(uri, classname, attr, value, depends)#
+

attribute_write_only_str_with_depends: Write only string class +property with dependent write only properties

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
value: type=string

Value to write into attr property

+
+
depends: type=dict

Dictionary of properties to write before value is written. Keys +are properties and values are values to be written

+
+
+
+
+
+ +
+
+test.attr_tests.floor_step_size(quantity, step_size)#
+

Quantize to specific stepsize

+
+
parameters:
+
quanity: type=float

Value to be quantized

+
+
step_size: type=str

Step size to quantize quanity to

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/dev/test_dma.html b/main/dev/test_dma.html new file mode 100644 index 000000000..c4ebca3a5 --- /dev/null +++ b/main/dev/test_dma.html @@ -0,0 +1,855 @@ + + + + + + + + DMA Tests — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

DMA Tests#

+

Functions used by test fixtures for evaluating receive and transmit DMA/buffers

+
+
+test.dma_tests.cw_loopback(uri, classname, channel, param_set, use_tx2=False, use_rx2=False)#
+

cw_loopback: Test CW loopback with connected loopback cables. +This test requires a devices with TX and RX onboard where the transmit +signal can be recovered. Sinuoidal data is passed to DMAs which is then +estimated on the RX side. The receive tone must be within +1% of its expected frequency at the max peak found

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
use_tx2: type=bool

Boolean if set will use tx2() as tx method

+
+
use_rx2: type=bool

Boolean if set will use rx2() as rx method

+
+
+
+
+
+ +
+
+test.dma_tests.cyclic_buffer(uri, classname, channel, param_set)#
+

cyclic_buffer: Construct Cyclic TX buffers and verify +no errors occur when pushed. This is performed twice +without closing the context

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated

+
+
+
+
+
+ +
+
+test.dma_tests.cyclic_buffer_exception(uri, classname, channel, param_set)#
+

cyclic_buffer_exception: Construct Cyclic TX buffers and verify +errors occur when pushed. This is performed twice +without closing the context and with resetting the TX buffers +which should cause an exception

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated

+
+
+
+
+
+ +
+
+test.dma_tests.dds_loopback(uri, classname, param_set, channel, frequency, scale, peak_min, use_obs=False, use_rx2=False)#
+

dds_loopback: Test DDS loopback with connected loopback cables. +This test requires a devices with TX and RX onboard where the transmit +signal can be recovered. TX FPGA DDSs are used to generate a sinusoid +which is then estimated on the RX side. The receive tone must be within +1% of its expected frequency with a specified peak

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
frequency: type=integer

Frequency in Hz of transmitted tone

+
+
scale: type=float

Scale of DDS tone. Range [0,1]

+
+
peak_min: type=float

Minimum acceptable value of maximum peak in dBFS of received tone

+
+
+
+
+
+ +
+
+test.dma_tests.dds_two_tone(uri, classname, channel, param_set, frequency1, scale1, peak_min1, frequency2, scale2, peak_min2)#
+

dds_two_tone: Test DDS loopback with connected loopback cables. +This test requires a devices with TX and RX onboard where the transmit +signal can be recovered. TX FPGA DDSs are used to generate two sinusoids +which are then estimated on the RX side. The receive tones must be within +1% of its respective expected frequency with a specified peak.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
frequency1: type=integer

Frequency in Hz of the first transmitted tone

+
+
scale1: type=float

Scale of the first DDS tone. Range [0,1]

+
+
peak_min1: type=float

Minimum acceptable value of maximum peak in dBFS of the received +first tone

+
+
frequency2: type=integer

Frequency in Hz of the second transmitted tone

+
+
scale2: type=float

Scale of the second DDS tone. Range [0,1]

+
+
peak_min2: type=float

Minimum acceptable value of maximum peak in dBFS of the received +second tone

+
+
+
+
+
+ +
+
+test.dma_tests.dma_dac_zeros(uri, classname, channel)#
+

dma_dac_zeros: Test DMA digital loopback with a zeros. +This test requires a AD936x or similar device with internal loopback +modes. The TX cores are put into zero source mode in cases when no +output is desired

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
+
+
+
+ +
+
+test.dma_tests.dma_loopback(uri, classname, channel)#
+

dma_loopback: Test DMA digital loopback with a triangle waveforms. +This test requires a AD936x or similar device with internal loopback +modes. A triangle wave is generated on I and Q or real1 and real2 +and multiple periods are compared for missing samples within a buffer +and delay between buffers.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
+
+
+
+ +
+
+test.dma_tests.dma_rx(uri, classname, channel, use_rx2=False, buffer_size=32768, annotated=False, param_set=None)#
+

dma_rx: Construct RX buffers and verify data is non-zero when pulled. +Collected buffer is of size 2**15 and 10 buffers are checked

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through rx_enabled_channels

+
+
use_rx2: type=bool

If True, use rx2() instead of rx()

+
+
buffer_size: type=int

Size of RX buffer in samples. Defaults to 2**15

+
+
annotated: type=bool

If True, annotated output is provided (dict)

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +received

+
+
+
+
+
+ +
+
+test.dma_tests.dma_tx(uri, classname, channel, use_tx2=False)#
+

dma_tx: Construct TX buffers and verify no errors occur when pushed. +Buffer is of size 2**15 and 10 buffers are pushed

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
+
+
+
+ +
+
+test.dma_tests.gain_check(uri, classname, channel, param_set, dds_scale, min_rssi, max_rssi)#
+

gain_check: Test DDS loopback with connected loopback cables and verify +calculated RSSI. This is only applicable for devices with RSSI calculations +onboard. This test also requires a devices with TX and RX onboard where the +transmit signal can be recovered. TX FPGA DDSs are used to generate a +sinusoid which is then received on the RX side. RSSI is captured during +this reception. The generated tone is at 10% RX sample rate.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
dds_scale: type=float

Scale of DDS tone. Range [0,1]

+
+
min_rssi: type=float

Minimum acceptable value of RSSI attribute

+
+
max_rssi: type=float

Maximum acceptable value of RSSI attribute

+
+
+
+
+
+ +
+
+test.dma_tests.hardwaregain(uri, classname, channel, dds_scale, frequency, hardwaregain_low, hardwaregain_high)#
+

hadwaregain: Test loopback with connected cables and verify +calculated hardware gain, by measuring changes in the AGC. This is only applicable +for devices with RSSI calculations onboard. This test also requires a devices +with TX and RX onboard where the transmit signal can be recovered. TX FPGA +DDSs are used to generate a sinusoid which is then received on the RX side.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
dds_scale: type=float

Scale of DDS tone. Range [0,1]

+
+
frequency:

Frequency in hertz of the generated tone. This must be +less than 1/2 the sample rate.

+
+
hardwaregain_low: type=float

Minimum acceptable value of hardwaregain attribute

+
+
hardwaregain_high: type=float

Maximum acceptable value of hardwaregain attribute

+
+
+
+
+
+ +
+
+test.dma_tests.harmonic_vals(classname, uri, channel, param_set, low, high, plot=False)#
+

harmonic_vals: Test first five harmonics and check to be within +certain intervals. This test also requires a devices with TX and RX +onboard where thetransmit signal can be recovered.Sinuoidal data is +passed to DMAs, which is then estimated on the RX side.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
low: type=list

List of minimum values for certain harmonics

+
+
high: type=list

List of maximum values for certain harmonics

+
+
plot: type=boolean

Boolean, if set the values are also plotted

+
+
+
+
+
+ +
+
+test.dma_tests.nco_loopback(uri, classname, param_set, channel, frequency, peak_min)#
+

nco_loopback: TX/DAC Test tone loopback with connected loopback cables. +This test requires a devices with TX and RX onboard where the transmit +signal can be recovered. TX/DAC internal NCOs are used to generate a sinusoid +which is then estimated on the RX side. The receive tone must be within +1% of its expected frequency with a specified peak

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
frequency: type=integer

Frequency in Hz of transmitted tone

+
+
peak_min: type=float

Minimum acceptable value of maximum peak in dBFS of received tone

+
+
+
+
+
+ +
+
+test.dma_tests.stress_context_creation(uri, classname, channel, repeats)#
+

stress_context_creation: Repeatedly create and destroy a context

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
repeats: type=integer

Number of times to re-create contexts

+
+
+
+
+
+ +
+
+test.dma_tests.stress_rx_buffer_creation(uri, classname, channel, repeats)#
+

stress_rx_buffer_creation: Repeatedly create and destroy buffers

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
repeats: type=integer

Number of times to re-create contexts

+
+
+
+
+
+ +
+
+test.dma_tests.stress_rx_buffer_length(uri, classname, channel, buffer_sizes)#
+

stress_rx_buffer_length: Repeatedly create and destroy buffers across different buffer sizes

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
buffer_sizes: type=list

List of buffer size to create and collect

+
+
+
+
+
+ +
+
+test.dma_tests.stress_tx_buffer_creation(uri, classname, channel, repeats)#
+

stress_tx_buffer_creation: Repeatedly create and destroy TX buffers

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
repeats: type=integer

Number of times to re-create buffers

+
+
+
+
+
+ +
+
+test.dma_tests.t_sfdr(uri, classname, channel, param_set, sfdr_min, use_obs=False, full_scale=0.9)#
+

t_sfdr: Test SFDR loopback of tone with connected loopback cables. +This test requires a devices with TX and RX onboard where the transmit +signal can be recovered. Sinuoidal data is passed to DMAs which is then +estimated on the RX side. The peak and second peak are determined in +the received signal to determine the sfdr.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
param_set: type=dict

Dictionary of attribute and values to be set before tone is +generated and received

+
+
sfdr_min: type=float

Minimum acceptable value of SFDR in dB

+
+
+
+
+
+ +
+
+test.dma_tests.verify_overflow(uri, classname, channel, buffer_size, sample_rate)#
+

verify_overflow: Verify overflow flags occur as expected

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
buffer_size type=int

List of buffer size to create and collect

+
+
sample_rate=int

Value to set sample rate of device in samples per second

+
+
+
+
+
+ +
+
+test.dma_tests.verify_underflow(uri, classname, channel, buffer_size, sample_rate)#
+

verify_overflow: Verify overflow flags occur as expected

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
classname: type=string

Name of pyadi interface class which contain attribute

+
+
channel: type=list

List of integers or list of list of integers of channels to +enable through tx_enabled_channels

+
+
buffer_size type=int

List of buffer size to create and collect

+
+
sample_rate=int

Value to set sample rate of device in samples per second

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/dev/test_generics.html b/main/dev/test_generics.html new file mode 100644 index 000000000..e58a00e9a --- /dev/null +++ b/main/dev/test_generics.html @@ -0,0 +1,388 @@ + + + + + + + + Generic Tests — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Generic Tests#

+

Functions used by test fixtures for evaluating drivers without pyadi-iio classes or not by using pyadi-iio classes.

+
+
+test.generics.iio_attribute_single_value(uri, attrtype, dev_name, chan_name, inout, attr, start, stop, step, tol, repeats=1)#
+

iio_attribute_single_value: Test numeric attributes over ranges +This is a generic test that does not use pyadi-iio classes +but instead uses libiio directly.

+
+
parameters:
+
uri: type=string

URI of IIO context of target board/system

+
+
attrtype: type=string

Name attribute type to test. Options are: context, channel, debug, device, and channel

+
+
dev_name: type=string

Name device with associated attribute. Ignored if not device, +debug, or channel attribute under test

+
+
chan_name: type=string

Name of channel if channel attribute. Ignored if not channel +attribute under test

+
+
inout: type=boolean

True if output channel, False otherwise. Ignored if not channel +attribute under test

+
+
attr: type=string

Attribute name to be written. Must be property of classname

+
+
start: type=integer

Lower bound of possible values attribute can be

+
+
stop: type=integer

Upper bound of possible values attribute can be

+
+
step: type=integer

Difference between successive values attribute can be

+
+
tol: type=integer

Allowable error of written value compared to read back value

+
+
repeats: type=integer

Number of random values to tests. Generated from uniform distribution

+
+
+
+
+
+ +
+
+test.generics.iio_buffer_check(phy, rxdev, uri, percent_fail)#
+

iio_buffer_check: Check receive buffers for repeative patterns of zeros. +This function does not require an interfaces class in pyadi but will +construct a generic interface on the fly.

+
+
parameters:
+
phy: type=string

Name of PHY IIO driver

+
+
rxdev: type=string

Name of driver with scan elements to create buffers with

+
+
uri: type=string

URI of IIO context of target board/system

+
+
percent_fail: type=float

Allowable percentage of zeros at a given index of collected +buffers

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.QuadMxFE_multi.html b/main/devices/adi.QuadMxFE_multi.html new file mode 100644 index 000000000..5c0b990ca --- /dev/null +++ b/main/devices/adi.QuadMxFE_multi.html @@ -0,0 +1,447 @@ + + + + + + + + QuadMxFE_multi — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

QuadMxFE_multi#

+
+
+class adi.QuadMxFE_multi.QuadMxFE_multi(primary_uri='', secondary_uris=[], primary_jesd=None, secondary_jesds=[None])#
+

Bases: object

+

ADQUADMXFExEBZ Multi-SOM Manager

+
+
parameters:
+
primary_uri: type=string

URI of primary ADQUADMXFExEBZ. Parent HMC7044 is connected +to this SOM

+
+
secondary_uris: type=list[string]

URI(s) of secondary ADQUADMXFExEBZ(s).

+
+
primary_jesd: type=adi.jesd

JESD object associated with primary ADQUADMXFExEBZ

+
+
secondary_jesds: type=list[adi.jesd]

JESD object(s) associated with secondary ADQUADMXFExEBZ(s)

+
+
+
+
+
+
+hmc7044_cap_sel()#
+
+ +
+
+hmc7044_car_output_delay(chan, digital, analog_ps)#
+

hmc7044_car_output_delay:

+
+
parameters:
+
digital: type=int

Digital delay. Adjusts the phase of the divider signal +by up to 17 half cycles of the VCO.

+
+
analog_ps: type=int

Analog delay. Adjusts the delay of the divider signal in +increments of ~25 ps. Range is from 100ps to 700ps.

+
+
+
+
+
+ +
+
+hmc7044_ext_output_delay(chan, digital, analog_ps)#
+

hmc7044_ext_output_delay:

+
+
parameters:
+
digital: type=int

Digital delay. Adjusts the phase of the divider signal +by up to 17 half cycles of the VCO.

+
+
analog_ps: type=int

Analog delay. Adjusts the delay of the divider signal in +increments of ~25 ps. Range is from 100ps to 700ps.

+
+
+
+
+
+ +
+
+hmc7044_set_cap_sel(vals)#
+

hmc7044_set_cap_sel:

+
+
parameters:
+
vals: type=list

Forces certain Capacitor bank selections. +Typically the list returned form hmc7044_cap_sel

+
+
+
+
+
+ +
+
+reinitialize()#
+

reinitialize: reinitialize all transceivers

+
+ +
+
+rx()#
+

Receive data from multiple hardware buffers for each channel index in +rx_enabled_channels of each child object (primary,secondaries[indx]).

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples for each device

+
+ +
+
+secondaries: List[QuadMxFE] = []#
+
+ +
+
+sysref_request()#
+

sysref_request: Sysref request for parent HMC7044

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad2s1210.html b/main/devices/adi.ad2s1210.html new file mode 100644 index 000000000..b900fc59c --- /dev/null +++ b/main/devices/adi.ad2s1210.html @@ -0,0 +1,351 @@ + + + + + + + + ad2s1210 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad2s1210#

+
+
+class adi.ad2s1210.ad2s1210(uri='')#
+

Bases: rx, context_manager

+

AD2S1210 resolver to digital converter.

+
+
+property excitation_frequency: int#
+

Gets and sets the excitation frequency in Hz.

+

Setting the value also does a soft reset of the device so that the +physical output is updated for the change.

+
+ +
+
+property hysteresis_enable: bool#
+

Gets and sets the hysteresis bit in the Control register.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad3552r.html b/main/devices/adi.ad3552r.html new file mode 100644 index 000000000..67a2c9e47 --- /dev/null +++ b/main/devices/adi.ad3552r.html @@ -0,0 +1,350 @@ + + + + + + + + ad3552r — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad3552r#

+

The device class in this module supports multiple parts, as follows:

+

ad3552r: ad3542r, ad3552r

+

By default, the device_name parameter in the class constructor is the +same as the class name (e.g. “ad3552r” for the ad3552r). To use the class +with another supported model, the name must be given when instantiating +the object. For example, if working with an ad3552r with a URI of +“10.2.5.222”, use the ad3552r class, but specify the device_name.

+

The number of individual channels is based on the device variant.

+
+
+class adi.ad3552r.ad3552r(uri='', device_name='')#
+

Bases: tx, context_manager

+

AD3552R DAC

+
+ +
dev = ad3552r("", "ad3552r")
+
+dev.channel[0].raw = 10
+dev.channel[1].raw = 30
+
+data = dev.channel[0].raw
+print(data)
+
+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4020.html b/main/devices/adi.ad4020.html new file mode 100644 index 000000000..d39864000 --- /dev/null +++ b/main/devices/adi.ad4020.html @@ -0,0 +1,387 @@ + + + + + + + + ad4020 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad4020#

+

Each device class in this module supports multiple parts, as follows:

+

ad4020: ad4020, ad4021, ad4022

+

ad4000: ad4000, ad4004, ad4008

+

ad4001: ad4001, ad4005

+

ad4002: ad4002, ad4006, ad4010

+

ad4003: ad4003, ad4007, ad4011

+

By default, the device_name parameter in the class constructor is the +same as the class name (e.g. “ad4001” for the ad4001). To use the class +with another supported model, the name must be given when instantiating +the object. For example, if working with an ad4007 with a URI of +“10.2.5.222”, use the ad4003 class, but specify the device_name +parameter explicitly:

+
import adi
+adc = adi.ad4003(uri="ip:10.2.5.222", device_name="ad4007")
+...
+
+
+
+
+class adi.ad4020.ad4000(uri='ip:analog.local', device_name='ad4000')#
+

Bases: ad4020

+
+ +
+
+class adi.ad4020.ad4001(uri='ip:analog.local', device_name='ad4001')#
+

Bases: ad4020

+
+ +
+
+class adi.ad4020.ad4002(uri='ip:analog.local', device_name='ad4002')#
+

Bases: ad4020

+
+ +
+
+class adi.ad4020.ad4003(uri='ip:analog.local', device_name='ad4003')#
+

Bases: ad4020

+
+ +
+
+class adi.ad4020.ad4020(uri='', device_name='ad4020')#
+

Bases: rx, context_manager

+

AD4020 device

+
+
+property sampling_frequency#
+

Get and set the sampling frequency.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad405x.html b/main/devices/adi.ad405x.html new file mode 100644 index 000000000..fc1c16745 --- /dev/null +++ b/main/devices/adi.ad405x.html @@ -0,0 +1,390 @@ + + + + + + + + ad405x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad405x#

+
+
+class adi.ad405x.ad405x(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD405x ADC

+
+
+property avg_filter_length#
+

Get average filter length. Only available in Burst Averaging Mode.

+
+ +
+
+property avg_filter_length_avail#
+

Get available average filter length. Only available in Burst Averaging Mode.

+
+ +
+
+property burst_sample_rate#
+

Get burst sample rate. Only available in Burst Averaging Mode.

+
+ +
+
+channels = []#
+
+ +
+
+property operating_mode#
+

Get operating mode.

+
+ +
+
+property operating_mode_avail#
+

Get available operating modes.

+
+ +
+
+property sampling_frequency#
+

Get sampling frequency.

+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4110.html b/main/devices/adi.ad4110.html new file mode 100644 index 000000000..ab2d7e7c9 --- /dev/null +++ b/main/devices/adi.ad4110.html @@ -0,0 +1,348 @@ + + + + + + + + ad4110 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad4110#

+
+
+class adi.ad4110.ad4110(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD4110 ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4130.html b/main/devices/adi.ad4130.html new file mode 100644 index 000000000..0fb5eb92a --- /dev/null +++ b/main/devices/adi.ad4130.html @@ -0,0 +1,348 @@ + + + + + + + + ad4130 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad4130#

+
+
+class adi.ad4130.ad4130(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD4130 ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4170.html b/main/devices/adi.ad4170.html new file mode 100644 index 000000000..9b85aec8f --- /dev/null +++ b/main/devices/adi.ad4170.html @@ -0,0 +1,350 @@ + + + + + + + + ad4170 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad4170#

+
+
+class adi.ad4170.ad4170(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD4170 ADC

+
+
+channels = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI +index - Channel index +val- Raw value

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4630.html b/main/devices/adi.ad4630.html new file mode 100644 index 000000000..03117ef1d --- /dev/null +++ b/main/devices/adi.ad4630.html @@ -0,0 +1,385 @@ + + + + + + + + ad4630 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad4630#

+
+
+class adi.ad4630.ad4630(uri='', device_name='ad4630-24')#
+

Bases: rx, context_manager, attribute

+

AD4630 is low power 24-bit precision SAR ADC

+
+
+output_data_mode()#
+

Determine the output data mode in which device is configured.

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property sample_averaging#
+

Get the sample averaging. Only available in 30bit averaged mode.

+
+ +
+
+property sample_averaging_avail#
+

Get list of all the sample averaging values available. Only available in 30bit averaged mode.

+
+ +
+
+property sample_rate#
+

Get the sampling frequency.

+
+ +
+ +
+
+class adi.ad4630.adaq42xx(uri='', device_name='adaq4224')#
+

Bases: ad4630

+

ADAQ4224 is a 24-bit precision SAR ADC data acquisition module

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad469x.html b/main/devices/adi.ad469x.html new file mode 100644 index 000000000..445c4237e --- /dev/null +++ b/main/devices/adi.ad469x.html @@ -0,0 +1,348 @@ + + + + + + + + ad469x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad469x#

+
+
+class adi.ad469x.ad469x(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD469x ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad4858.html b/main/devices/adi.ad4858.html new file mode 100644 index 000000000..19cfb656b --- /dev/null +++ b/main/devices/adi.ad4858.html @@ -0,0 +1,383 @@ + + + + + + + + ad4858 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad4858#

+
+
+class adi.ad4858.ad4858(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD4858 ADC

+
+
+channel = []#
+
+ +
+
+property oversampling_ratio#
+

Get oversampling ratio.

+
+ +
+
+property oversampling_ratio_avail#
+

Get list of all available oversampling rates.

+
+ +
+
+property packet_format#
+

Get packet format.

+
+ +
+
+property packet_format_avail#
+

Get list of all available packet formats.

+
+ +
+
+property sampling_frequency#
+

Get sampling frequency.

+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad5592r.html b/main/devices/adi.ad5592r.html new file mode 100644 index 000000000..49ca51c67 --- /dev/null +++ b/main/devices/adi.ad5592r.html @@ -0,0 +1,434 @@ + + + + + + + + ad5592r — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad5592r#

+
+
+class adi.ad5592r.ad5592r(uri='', device_name='')#
+

Bases: context_manager

+

AD5592R and AD5593R SPI / I2C interface, 8-channel, 12-bit Confiburable ADC/DAC, digital GPIO

+
+
Analog I/O pins are configured in the device tree and can be ADC, DAC, or both. Channel attributes are as follows, where X corresponds to device channel number:

voltageX_adc.raw: Raw 12-bit ADC code. read only for ADC channels

+

voltageX_adc.scale: ADC scale, millivolts per lsb

+

voltageX_adc.scale_available: Available scales, corresponding to Vref*1, Vref*2

+

voltageX(): Returns ADC reading in millivolts (read only)

+

voltageX_dac.raw: Raw 12-bit DAC code. read/write for DAC channels

+

voltageX_dac.scale: ADC scale, millivolts per lsb

+

voltageX_dac.scale_available: Available scales (corresponding to 1X/2X gain)

+

voltageX(1234.5): Sets/Returns ADC reading in millivolts

+

temp.raw: Temperature raw value

+

temp.scale: Temperature scale value

+

temp.offset Temperature offset value

+

temp(): Returns temperature in degrees Celsius

+
+
+
+
+class channel_adc(ctrl, channel_name, output)#
+

Bases: attribute

+

AD5592R Input Voltage Channels

+
+
+property raw#
+
+ +
+
+property scale#
+
+ +
+
+property scale_available#
+

Available scales

+
+ +
+ +
+
+class channel_dac(ctrl, channel_name, output)#
+

Bases: channel_adc

+

AD5592R Output Voltage Channels +(Add setter to raw property)

+
+
+property raw#
+
+ +
+ +
+
+class channel_temp(ctrl, channel_name, output)#
+

Bases: attribute

+

AD5592R Temperature Channel

+
+
+property offset#
+
+ +
+
+property raw#
+
+ +
+
+property scale#
+
+ +
+ +
+ +

The number of individual channels is based on the hardware configuration of the device. The are individually accessed as properties like so:

+
dev = adi.ad5592r(uri="ip:analog")
+dev.dac_0.raw = 10
+dev.dac_1.raw = 30
+data = dev.adc_0.raw
+print(data)
+temp_c = (dev.temp_0.raw + dev.temp_0.offset) * dev.temp_0.scale
+print(temp_c)
+
+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad5627.html b/main/devices/adi.ad5627.html new file mode 100644 index 000000000..8c276f765 --- /dev/null +++ b/main/devices/adi.ad5627.html @@ -0,0 +1,349 @@ + + + + + + + + ad5627 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad5627#

+
+
+class adi.ad5627.ad5627(uri='')#
+

Bases: tx, context_manager

+

AD5627 Low Power Dual nanoDAC

+
+
+property apdbias#
+

Get the APD Bias.

+
+ +
+
+property tiltvoltage#
+

Get the Tilt Voltage.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad5686.html b/main/devices/adi.ad5686.html new file mode 100644 index 000000000..1b1828958 --- /dev/null +++ b/main/devices/adi.ad5686.html @@ -0,0 +1,341 @@ + + + + + + + + ad5686 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad5686#

+
+
+class adi.ad5686.ad5686(uri='', device_index=0)#
+

Bases: context_manager, attribute

+

AD5686 DAC

+
+
+channel = []#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad5754r.html b/main/devices/adi.ad5754r.html new file mode 100644 index 000000000..44abe6afe --- /dev/null +++ b/main/devices/adi.ad5754r.html @@ -0,0 +1,474 @@ + + + + + + + + ad5754r — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad5754r#

+
+
+class adi.ad5754r.ad5754r(uri='', device_name='')#
+

Bases: tx, context_manager

+

AD5754R DAC

+
+
+property all_chns_clear#
+

Get current all_chns_clear setting

+
+ +
+
+property all_chns_clear_available#
+

Get list of all all_chns_clear settings

+
+ +
+
+channel = []#
+
+ +
+
+property clamp_enable#
+

Get clamp_en setting

+
+ +
+
+property clamp_enable_available#
+

Get list of all clamp_en settings

+
+ +
+
+property clear_setting#
+

Get clear code setting

+
+ +
+
+property clear_setting_available#
+

Get list of all clear code settings

+
+ +
+
+property hw_ldac_trigger#
+

Get hw_ldac_trigger setting

+
+ +
+
+property hw_ldac_trigger_available#
+

Get list of all hw_ldac_trigger settings

+
+ +
+
+property int_ref_powerup#
+

Get internal reference powerup

+
+ +
+
+property int_ref_powerup_available#
+

Get list of all internal reference powerup settings

+
+ +
+
+property oc_tsd#
+

Get oc_tsd status

+
+ +
+
+property oc_tsd_available#
+

Get list of all possible oc_tsd status

+
+ +
+
+property sampling_frequency#
+

Get sampling frequency

+
+ +
+
+property sdo_disable#
+

Get sdo disable

+
+ +
+
+property sdo_disable_available#
+

Get list of all sdo enable/disable settings

+
+ +
+
+property sw_ldac_trigger#
+

Get sw_ldac_trigger setting

+
+ +
+
+property sw_ldac_trigger_available#
+

Get list of all sw_ldac_trigger settings

+
+ +
+
+property tsd_enable#
+

Get tsd_en setting

+
+ +
+
+property tsd_enable_available#
+

Get list of all tsd_en settings

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad579x.html b/main/devices/adi.ad579x.html new file mode 100644 index 000000000..b07abe4e1 --- /dev/null +++ b/main/devices/adi.ad579x.html @@ -0,0 +1,362 @@ + + + + + + + + ad579x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad579x#

+
+
+class adi.ad579x.ad579x(uri='', device_name='')#
+

Bases: tx, context_manager

+

AD579x DAC

+
+
+channel = []#
+
+ +
+
+property powerdown_mode#
+

Ad579x powerdown_mode config

+
+ +
+
+property powerdown_mode_available#
+

AD579x powedown mode available

+
+ +
+
+property sampling_frequency#
+

AD579x sampling frequency config

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad5940.html b/main/devices/adi.ad5940.html new file mode 100644 index 000000000..6fb161d8d --- /dev/null +++ b/main/devices/adi.ad5940.html @@ -0,0 +1,379 @@ + + + + + + + + adi.ad5940 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.ad5940 module#

+
+
+class adi.ad5940.ad5940(uri='')#
+

Bases: rx, context_manager

+

ad5940 CDC

+
+
+channel = []#
+
+ +
+
+property excitation_amplitude#
+

Excitation amplitude.

+
+ +
+
+property excitation_frequency#
+

Excitation frequency.

+
+ +
+
+property gpio1_toggle#
+

GPIO1 control.

+
+ +
+
+property impedance_mode#
+

In impedance mode, device measures voltage and current and to +compute the impedance. Otherwise, only the voltage is measured.

+
+ +
+
+property magnitude_mode#
+

In magnitude mode, device computes and returns the magnitude. +Otherwise, a pair of real and imaginary parts of the complex +result is returned by the device.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad6676.html b/main/devices/adi.ad6676.html new file mode 100644 index 000000000..631bd5da8 --- /dev/null +++ b/main/devices/adi.ad6676.html @@ -0,0 +1,466 @@ + + + + + + + + adi.ad6676 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.ad6676 module#

+
+
+class adi.ad6676.ad6676(uri='')#
+

Bases: rx, context_manager

+

AD6676 Wideband IF Receiver Subsystem

+
+
+property adc_frequency#
+

adc_frequency: The clock frequency of the ADC. Maximizing the clock frequency is helpful +when the IF or bandwidth are high. A lower clock frequency reduces power consumption and is +appropriate for low IFs and narrow bandwidths.

+

Range using external synthesizer [2.0,3.2] GHz in Hz +Range using internal synthesizer [2.925,3.2] GHz in Hz

+
+ +
+
+property bandwidth#
+

bandwidth: The bandwidth of the ADC. Since the AD6676 uses delta-sigma technology, +the available bandwidth is a relatively small fraction of the ADC clock rate and +the AD6676 achieves the lowest noise and distortion when the bandwidth is small.

+

The allowed range is between [0.005,0.05]*FADC in Hz

+
+ +
+
+property bw_margin_high#
+

bw_margin_high: High/upper bandwidth margins for the noise-shaping profile of the ADC. +Typical values are 5 MHz, but the user may want to increase these margins in wideband operation +in order to optimize the noise profile of the ADC. +Typical range [0,30] MHz in MHz

+
+ +
+
+property bw_margin_if#
+

bw_margin_if: Displacement of the resonance frequency (F1Shift) of the first resonator within +the ADC from band-center. Typically 0 is appropriate, but in the widest bandwidth modes +positive shifts can be used to reduce the noise density near the upper edge of the passband. +Typical range [-30,30] MHz in MHz

+
+ +
+
+property bw_margin_low#
+

bw_margin_low: Lower bandwidth margins for the noise-shaping profile of the ADC. +Typical values are 5 MHz, but the user may want to increase these margins in wideband operation +in order to optimize the noise profile of the ADC. +Typical range [0,30] MHz in MHz

+
+ +
+
+property hardwaregain#
+

hardwaregain: The AD6676 contains a 50-ohm input attenuator programmable in 1-dB steps. +Use this device attribute to set the attenuator’s attenuation. +The dynamic range of the system increases somewhat with moderate attenuation settings of 6-12 dB, +at the expense of an increased noise figure. +The range is from 0 to -27.00 dB in 1dB steps. +The nomenclature used here is gain instead of attenuation, so all values are expressed negative.

+
+ +
+
+property intermediate_frequency#
+

intermediate_frequency: The IF (intermediate frequency) to which the ADC is tuned. +The AD6676 supports IFs from 70 to 450 MHz provided the external inductors are chosen appropriately. +Since the AD6676-EBZ by default includes a pair of 19-nH inductors soldered to the evaluation board, +the IF range allowed is less than the full range supported by the AD6676.

+
+ +
+
+property sampling_frequency#
+

sampling_frequency: The complex (I/Q) data rate in SPS. +The AD6676 supports decimation factors (DFs) of 12, 16, 24 and 32. +The complex (I/Q) data rate at the JESD204B outputs is FADC / DF.

+
+ +
+
+property scale#
+

scale: One of the convenient features of the AD6676 is that the full-scale of its ADC is +relatively small and adjustable over a 12-dB range [1.00 .. 0.25] +The dynamic range of the ADC is highest at the maximum full-scale setting but the noise +figure of the system is lowest at the minimum full-scale setting.

+

Writing a value of 0.5 to this device attribute lowers the PIN_0dBFS by 6 dB. +Likewise writing a value of 0.25 to this device attribute lowers the PIN_0dBFS by 12 dB.

+
+ +
+
+property shuffler_control#
+

shuffler_control: The AD6676 includes dynamic reordering of the comparators within the ADC in order to +break up the spurious tones and distortion products associated with a fixed ordering. +The Shuffle Control device attribute allows the user to experiment with different shuffling rates. +The ‘fadc’ option (Shuffle every 1) reorders the comparators on every clock cycle with 50% probability. +This shuffle scheme is able to randomize deterministic spurs but tends to increase the +noise density and creates FADC/32 “shuffle humps” in the output spectrum. +Similarly, the ‘fadc/2’ ‘fadc/3’ ‘fadc/4’ (Shuffle every 2,3,4) options reorder the comparators every n clock cycles +with 50% probability. Using a high value of n decreases the noise degradation at the +expense of less effective randomization and FADC/(32*n) shuffle humps that are closer +to the main carrier. Fast shuffling can be disabled by selecting the disable option. +Available values: disable fadc fadc/2 fadc/3 fadc/4

+
+ +
+
+property shuffler_thresh#
+

shuffler_thresh: In order to obtain the spur-reduction benefits of shuffling at large signal levels while +retaining the low noise of not shuffling when the signal is small, the AD6676 supports +dynamic shuffle control via the Shuffle Threshold attribute. +Shuffling is disabled if the raw ADC output is below the specified threshold for ~5000 clock cycles. +A threshold of zero implies that shuffling is always enabled. +The supported range is from 0..8

+
+ +
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7124.html b/main/devices/adi.ad7124.html new file mode 100644 index 000000000..00f5a1bb9 --- /dev/null +++ b/main/devices/adi.ad7124.html @@ -0,0 +1,362 @@ + + + + + + + + ad7124 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+ +
+ + + + +
+
+
+
+ +
+

ad7124#

+
+
+class adi.ad7124.ad7124(uri='', device_index=0)#
+

Bases: rx, context_manager

+

AD7124 ADC

+
+
+channel = []#
+
+ +
+
+property sample_rate#
+

Sets sampling frequency of the AD7124

+
+ +
+
+property scale_available#
+

Provides all available scale(gain) settings for the AD7124 channels

+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7134.html b/main/devices/adi.ad7134.html new file mode 100644 index 000000000..320f928a3 --- /dev/null +++ b/main/devices/adi.ad7134.html @@ -0,0 +1,348 @@ + + + + + + + + ad7134 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad7134#

+
+
+class adi.ad7134.ad7134(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD7134 ADC

+
+
+channels = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad717x.html b/main/devices/adi.ad717x.html new file mode 100644 index 000000000..9968442ba --- /dev/null +++ b/main/devices/adi.ad717x.html @@ -0,0 +1,348 @@ + + + + + + + + ad717x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad717x#

+
+
+class adi.ad717x.ad717x(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD717x ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad719x.html b/main/devices/adi.ad719x.html new file mode 100644 index 000000000..1ee0ae361 --- /dev/null +++ b/main/devices/adi.ad719x.html @@ -0,0 +1,348 @@ + + + + + + + + ad719x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad719x#

+
+
+class adi.ad719x.ad719x(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD719x ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7291.html b/main/devices/adi.ad7291.html new file mode 100644 index 000000000..1f8ebed34 --- /dev/null +++ b/main/devices/adi.ad7291.html @@ -0,0 +1,333 @@ + + + + + + + + ad7291 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad7291#

+
+
+class adi.ad7291.ad7291(uri='', device_index=0)#
+

Bases: context_manager

+

AD7291 ADC

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad738x.html b/main/devices/adi.ad738x.html new file mode 100644 index 000000000..fb2f6b219 --- /dev/null +++ b/main/devices/adi.ad738x.html @@ -0,0 +1,348 @@ + + + + + + + + ad738x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad738x#

+
+
+class adi.ad738x.ad738x(uri='', device_name='ad7381')#
+

Bases: rx, context_manager

+

AD738x ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7606.html b/main/devices/adi.ad7606.html new file mode 100644 index 000000000..31e181602 --- /dev/null +++ b/main/devices/adi.ad7606.html @@ -0,0 +1,376 @@ + + + + + + + + ad7606 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad7606#

+
+
+class adi.ad7606.ad7606(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD7606 ADC

+
+
+channel = []#
+
+ +
+
+property oversampling_ratio#
+

AD7606 oversampling_ratio

+
+ +
+
+property oversampling_ratio_available#
+

AD7606 channel oversampling_ratio_available

+
+ +
+
+property range_available#
+

Provides all available range settings for the AD7606 channels

+
+ +
+
+property scale_available#
+

Provides all available scale settings for the AD7606 channels

+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7689.html b/main/devices/adi.ad7689.html new file mode 100644 index 000000000..2c7277f09 --- /dev/null +++ b/main/devices/adi.ad7689.html @@ -0,0 +1,348 @@ + + + + + + + + ad7689 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad7689#

+
+
+class adi.ad7689.ad7689(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD7689 ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7746.html b/main/devices/adi.ad7746.html new file mode 100644 index 000000000..a6bdea8e3 --- /dev/null +++ b/main/devices/adi.ad7746.html @@ -0,0 +1,341 @@ + + + + + + + + ad7746 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad7746#

+
+
+class adi.ad7746.ad7746(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD7746 CDC

+
+
+channel = []#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7768.html b/main/devices/adi.ad7768.html new file mode 100644 index 000000000..e884f93a4 --- /dev/null +++ b/main/devices/adi.ad7768.html @@ -0,0 +1,401 @@ + + + + + + + + ad7768 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad7768#

+
+
+class adi.ad7768.ad7768(uri='ip:analog.local')#
+

Bases: rx, context_manager

+

AD7768 8-channel, Simultaneous Sampling Sigma-Delta ADC

+
+
+property filter_type#
+

Get filter type.

+
+ +
+
+property filter_type_avail#
+

Get available filter types.

+
+ +
+
+property power_mode#
+

Get power mode.

+
+ +
+
+property power_mode_avail#
+

Get available power modes.

+
+ +
+
+property sampling_frequency#
+

Get sampling frequency.

+
+ +
+
+property sampling_frequency_available#
+

Get available sampling frequencies.

+
+ +
+ +
+
+class adi.ad7768.ad7768_4(uri='ip:analog.local')#
+

Bases: ad7768

+

AD7768 4-channel, Simultaneous Sampling Sigma-Delta ADC

+
+
+property sync_start_enable#
+

Get sync start enable.

+
+ +
+
+property sync_start_enable_available#
+

Get available sync start enable types.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad777x.html b/main/devices/adi.ad777x.html new file mode 100644 index 000000000..6d1680a27 --- /dev/null +++ b/main/devices/adi.ad777x.html @@ -0,0 +1,348 @@ + + + + + + + + ad777x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad777x#

+
+
+class adi.ad777x.ad777x(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD777x ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad7799.html b/main/devices/adi.ad7799.html new file mode 100644 index 000000000..4debc2a7d --- /dev/null +++ b/main/devices/adi.ad7799.html @@ -0,0 +1,348 @@ + + + + + + + + ad7799 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad7799#

+
+
+class adi.ad7799.ad7799(uri='')#
+

Bases: rx, context_manager

+

AD7799 ADC

+
+
+channel = []#
+
+ +
+
+property gain#
+

Get gain of the AD7799

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9081.html b/main/devices/adi.ad9081.html new file mode 100644 index 000000000..7b042b4e5 --- /dev/null +++ b/main/devices/adi.ad9081.html @@ -0,0 +1,699 @@ + + + + + + + + ad9081 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad9081#

+
+
+class adi.ad9081.ad9081(uri='')#
+

Bases: rx_tx, context_manager, sync_start

+

AD9081 Mixed-Signal Front End (MxFE)

+
+
+property adc_frequency#
+

adc_frequency: ADC frequency in Hz

+
+ +
+
+property api_version#
+

api_version: API version

+
+ +
+
+property chip_version#
+

chip_version: Chip version information

+
+ +
+
+property dac_frequency#
+

dac_frequency: DAC frequency in Hz

+
+ +
+
+property jesd204_device_status#
+

jesd204_device_status: Device jesd204 link status information

+
+ +
+
+property jesd204_device_status_check#
+

jesd204_device_status_check: Device jesd204 link status check

+

Returns ‘True’ in case error conditions are detected, ‘False’ otherwise

+
+ +
+
+property jesd204_fsm_ctrl#
+

jesd204_fsm_ctrl: jesd204-fsm control

+
+ +
+
+property jesd204_fsm_error#
+

jesd204_fsm_error: jesd204-fsm error

+
+ +
+
+property jesd204_fsm_paused#
+

jesd204_fsm_paused: jesd204-fsm paused

+
+ +
+
+property jesd204_fsm_resume#
+

jesd204_fsm_resume: jesd204-fsm resume

+
+ +
+
+property jesd204_fsm_state#
+

jesd204_fsm_state: jesd204-fsm state

+
+ +
+
+property loopback_mode#
+

loopback_mode: Enable loopback mode RX->TX

+

When enabled JESD RX FIFO is connected to JESD TX FIFO, +making the entire datasource for the TX path the RX path. No +data is passed into the TX path from off-chip when 1. For +this mode to function correctly the JESD configuration +between RX and TX must be identical and only use a single +link.

+
+ +
+
+property path_map#
+

path_map: Map of channelizers both coarse and fine to +individual driver channel names

+
+ +
+
+property pfilt_config#
+
+ +
+
+property powerdown#
+

powerdown: Powerdown and reset the chip

+

Support for dynamic powerdown. Writing device attribute +powerdown with ‘Yy1Nn0’, or [oO][NnFf] for “on” and “off”, will either +stop the jesd204 fsm, reset the device and power down an optional +regulator (vdd), or do the opposite in reverse order.

+
+ +
+
+property rx_channel_6dB_digital_gains#
+

rx_channel_6dB_digital_gains: Enable 6dB of gain per FDDC

+
+ +
+
+property rx_channel_nco_frequencies#
+

rx_channel_nco_frequencies: Receive path fine DDC NCO frequencies

+
+ +
+
+property rx_channel_nco_phases#
+

rx_channel_nco_phases: Receive path fine DDC NCO phases

+
+ +
+
+property rx_main_6dB_digital_gains#
+

rx_main_6dB_digital_gains: Enable 6dB of gain per CDDC

+
+ +
+
+property rx_main_ffh_gpio_mode_enable#
+

rx_main_ffh_gpio_mode_enable: Enablles GPIO controlled frequency hopping

+
+ +
+
+property rx_main_ffh_mode#
+

rx_main_ffh_mode: ADC FFH mode. Options are: +instantaneous_update, synchronous_update_by_transfer_bit, +synchronous_update_by_gpio

+
+ +
+
+property rx_main_ffh_trig_hop_en#
+

rx_main_ffh_trig_hop_en: Enable triggered hopping for CDDC NCO

+
+ +
+
+property rx_main_nco_ffh_index#
+

rx_main_nco_ffh_index: Receive path coarse DDC NCO index in range [0,15]

+
+ +
+
+property rx_main_nco_ffh_select#
+

rx_main_nco_ffh_select: Receive path coarse DDC NCO select in range [0,15]

+
+ +
+
+property rx_main_nco_frequencies#
+

rx_main_nco_frequencies: Receive path coarse DDC NCO frequencies

+
+ +
+
+property rx_main_nco_phases#
+

rx_main_nco_phases: Receive path coarse DDC NCO phases

+
+ +
+
+property rx_nyquist_zone#
+

rx_nyquist_zone: ADC nyquist zone. Options are: odd, even

+
+ +
+
+property rx_sample_rate#
+

rx_sampling_frequency: Sample rate after decimation

+
+ +
+
+property rx_test_mode#
+

rx_test_mode: NCO Test Mode

+
+ +
+
+set_tx_dac_full_scale_current(value)#
+

tx_dac_full_scale_current: Set full scale current of DACs. This value +is in microamps.

+
+ +
+
+property tx_channel_nco_frequencies#
+

tx_channel_nco_frequencies: Transmit path fine DUC NCO frequencies

+
+ +
+
+property tx_channel_nco_gain_scales#
+

tx_channel_nco_gain_scales Transmit path fine DUC NCO gain scale

+
+ +
+
+property tx_channel_nco_phases#
+

tx_channel_nco_phases: Transmit path fine DUC NCO phases

+
+ +
+
+property tx_channel_nco_test_tone_en#
+

tx_channel_nco_test_tone_en: Transmit path fine DUC NCO test tone enable

+
+ +
+
+property tx_channel_nco_test_tone_scales#
+

tx_channel_nco_test_tone_scales: Transmit path fine DUC NCO test tone scale

+
+ +
+
+property tx_dac_en#
+

tx_dac_en: Enable DACs

+
+ +
+
+property tx_dac_full_scale_current#
+
+ +
+
+property tx_ddr_offload#
+

tx_ddr_offload: Enable DDR offload

+

When true the DMA will pass buffers into the BRAM FIFO for data repeating. +This is necessary when operating at high DAC sample rates. This can reduce +the maximum buffer size but data passed to DACs in cyclic mode will not +underflow due to memory bottlenecks.

+
+ +
+
+property tx_main_ffh_frequency#
+

tx_main_ffh_frequency: Transmitter fast frequency hop frequency. This will set +The NCO frequency of the NCO selected from the bank defined by tx_main_ffh_index

+
+ +
+
+property tx_main_ffh_gpio_mode_enable#
+

tx_main_ffh_gpio_mode_enable: Enablles GPIO controlled frequency hopping

+
+ +
+
+property tx_main_ffh_index#
+

tx_main_ffh_index: Transmitter fast frequency hop NCO bank index in range [0,30]

+
+ +
+
+property tx_main_ffh_mode#
+

tx_main_ffh_mode: Set hop transition mode of NCOs Options are: +phase_continuous, phase_incontinuous, and phase_coherent

+
+ +
+
+property tx_main_nco_ffh_select#
+

tx_main_nco_ffh_select: Transmit path coarse DDC NCO select in range [0,30]

+
+ +
+
+property tx_main_nco_frequencies#
+

tx_main_nco_frequencies: Transmit path coarse DUC NCO frequencies

+
+ +
+
+property tx_main_nco_phases#
+

tx_main_nco_phases: Transmit path coarse DUC NCO phases

+
+ +
+
+property tx_main_nco_test_tone_en#
+

tx_main_nco_test_tone_en: Transmit path coarse DUC NCO test tone enable

+
+ +
+
+property tx_main_nco_test_tone_scales#
+

tx_main_nco_test_tone_scales: Transmit path coarse DUC NCO test tone scale

+
+ +
+
+property tx_sample_rate#
+

tx_sampling_frequency: Sample rate before interpolation

+
+ +
+
+write_pfilt_config(value)#
+

Load a new PFILT configuration file +Input is path to PFILT configuration file. Please see +driver documentation about PFILT generation and limitations

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9081_mc.html b/main/devices/adi.ad9081_mc.html new file mode 100644 index 000000000..740072063 --- /dev/null +++ b/main/devices/adi.ad9081_mc.html @@ -0,0 +1,407 @@ + + + + + + + + ad9081_mc — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9081_mc#

+

The multi-chip python interface for AD9081 is scalable to any number of AD9081s within a single libIIO context. It will automatically determine the correct main driver, manage the CDDC/FDDC/CDUC/FDUC arrangement uniquely for each chip, and DMA/DDS IP. However, the interface a bit unique with pyadi-iio since it is almost identical to the single AD9081 class but it exposes properties in a slightly different way.

+

When using adi.ad9081, properties are generally simple types like strings, ints, floats, or lists of these types. For example, when reading back the rx_channel_nco_frequencies you would observe something like:

+
>>> import adi
+>>> dev = adi.ad9081()
+>>> dev.rx_channel_nco_frequencies
+[0, 0, 0, 0]
+
+
+

For the case of a multi-chip configuration a dict is returned with an entry for each MxFE chip:

+
>>> import adi
+>>> dev = adi.ad9081_mc()
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9081-rx-0': [0, 0, 0, 0],
+ 'axi-ad9081-rx-1': [0, 0, 0, 0],
+ 'axi-ad9081-rx-2': [0, 0, 0, 0],
+ 'axi-ad9081-rx-3': [0, 0, 0, 0]}
+
+
+

The same dict can be passed back to the property when writing, which will contain all or a subset of the chips to be address if desired. Alternatively, a list can be passed with only the values themselves if a dict does not want to be used. This is useful when performing array based DSP were data is approach in aggregate. However, in this case entries must be provided for all chip, not just a subset. Otherwise an error is returned.

+

When passing a list only, the chips are address based on the attribute _default_ctrl_names. Below is an example of this API:

+
>>> import adi
+>>> dev = adi.ad9081_mc()
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9081-rx-0': [0, 0, 0, 0],
+ 'axi-ad9081-rx-1': [0, 0, 0, 0],
+ 'axi-ad9081-rx-2': [0, 0, 0, 0],
+ 'axi-ad9081-rx-3': [0, 0, 0, 0]}
+>>> dev.rx_channel_nco_frequencies = [0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15]
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9081-rx-0': [0, 1, 2, 3],
+ 'axi-ad9081-rx-1': [4, 5, 6, 7],
+ 'axi-ad9081-rx-2': [8, 9, 10, 11],
+ 'axi-ad9081-rx-3': [12, 13, 14, 15]}
+
+
+
+
+class adi.ad9081_mc.QuadMxFE(uri='', calibration_board_attached=False)#
+

Bases: ad9081_mc

+

Quad AD9081 Mixed-Signal Front End (MxFE) Development System

+
+
parameters:
+
uri: type=string

Optional parameter for the URI of IIO context with QuadMxFE.

+
+
+
+
+
+
+property rx_dsa_gain#
+

rx_dsa_gain: Receiver digital step attenuator gain

+
+ +
+ +
+
+class adi.ad9081_mc.ad9081_mc(uri='', phy_dev_name='')#
+

Bases: ad9081

+

AD9081 Mixed-Signal Front End (MxFE) Multi-Chip Interface

+
+

This class is a generic interface for boards that utilize multiple AD9081 +devices.

+
+
+
parameters:
+
uri: type=string

Optional parameter for the URI of IIO context with AD9081(s).

+
+
phy_dev_name: type=string

Optional parameter name of main control driver for multi-AD9081 board. +If no argument is given the driver with the most channel attributes is +assumed to be the main PHY driver

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9083.html b/main/devices/adi.ad9083.html new file mode 100644 index 000000000..81a5b5e40 --- /dev/null +++ b/main/devices/adi.ad9083.html @@ -0,0 +1,377 @@ + + + + + + + + ad9083 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad9083#

+
+
+class adi.ad9083.ad9083(uri='')#
+

Bases: sync_start, rx, context_manager

+

AD9083 High-Speed Multi-channel ADC

+
+
+property nco0_frequency#
+

nco0_frequency: Get/Set NCO0 frequency

+
+ +
+
+property nco1_frequency#
+

nco0_frequency: Get/Set NCO1 frequency

+
+ +
+
+property nco2_frequency#
+

nco0_frequency: Get/Set NCO2 frequency

+
+ +
+
+reg_read(reg)#
+

Direct Register Access via debugfs

+
+ +
+
+reg_write(reg, value)#
+

Direct Register Access via debugfs

+
+ +
+
+property rx_sample_rate#
+

rx_sampling_frequency: Sample rate after decimation

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9084.html b/main/devices/adi.ad9084.html new file mode 100644 index 000000000..a85024974 --- /dev/null +++ b/main/devices/adi.ad9084.html @@ -0,0 +1,564 @@ + + + + + + + + ad9081 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad9081#

+
+
+class adi.ad9084.ad9084(uri='')#
+

Bases: rx_tx, context_manager, sync_start

+

AD9084 Mixed-Signal Front End (MxFE)

+
+
+property adc_frequency#
+

adc_frequency: ADC frequency in Hz

+
+ +
+
+property api_version#
+

api_version: API version

+
+ +
+
+property chip_version#
+

chip_version: Chip version information

+
+ +
+
+property dac_frequency#
+

dac_frequency: DAC frequency in Hz

+
+ +
+
+property jesd204_device_status#
+

jesd204_device_status: Device jesd204 link status information

+
+ +
+
+property jesd204_device_status_check#
+

jesd204_device_status_check: Device jesd204 link status check

+

Returns ‘True’ in case error conditions are detected, ‘False’ otherwise

+
+ +
+
+property jesd204_fsm_ctrl#
+

jesd204_fsm_ctrl: jesd204-fsm control

+
+ +
+
+property jesd204_fsm_error#
+

jesd204_fsm_error: jesd204-fsm error

+
+ +
+
+property jesd204_fsm_paused#
+

jesd204_fsm_paused: jesd204-fsm paused

+
+ +
+
+property jesd204_fsm_resume#
+

jesd204_fsm_resume: jesd204-fsm resume

+
+ +
+
+property jesd204_fsm_state#
+

jesd204_fsm_state: jesd204-fsm state

+
+ +
+
+property loopback_mode#
+

loopback_mode: Enable loopback mode RX->TX

+

When enabled JESD RX FIFO is connected to JESD TX FIFO, +making the entire datasource for the TX path the RX path. No +data is passed into the TX path from off-chip when 1. For +this mode to function correctly the JESD configuration +between RX and TX must be identical and only use a single +link.

+
+ +
+
+property path_map#
+

path_map: Map of channelizers both coarse and fine to +individual driver channel names

+
+ +
+
+property rx_channel_nco_frequencies#
+

rx_channel_nco_frequencies: Receive path fine DDC NCO frequencies

+
+ +
+
+property rx_channel_nco_phases#
+

rx_channel_nco_phases: Receive path fine DDC NCO phases

+
+ +
+
+property rx_main_nco_frequencies#
+

rx_main_nco_frequencies: Receive path coarse DDC NCO frequencies

+
+ +
+
+property rx_main_nco_phases#
+

rx_main_nco_phases: Receive path coarse DDC NCO phases

+
+ +
+
+property rx_nyquist_zone#
+

rx_nyquist_zone: ADC nyquist zone. Options are: odd, even

+
+ +
+
+property rx_sample_rate#
+

rx_sampling_frequency: Sample rate after decimation

+
+ +
+
+property rx_test_mode#
+

rx_test_mode: NCO Test Mode

+
+ +
+
+property tx_channel_nco_frequencies#
+

tx_channel_nco_frequencies: Transmit path fine DUC NCO frequencies

+
+ +
+
+property tx_channel_nco_gain_scales#
+

tx_channel_nco_gain_scales Transmit path fine DUC NCO gain scale

+
+ +
+
+property tx_channel_nco_phases#
+

tx_channel_nco_phases: Transmit path fine DUC NCO phases

+
+ +
+
+property tx_channel_nco_test_tone_en#
+

tx_channel_nco_test_tone_en: Transmit path fine DUC NCO test tone enable

+
+ +
+
+property tx_channel_nco_test_tone_scales#
+

tx_channel_nco_test_tone_scales: Transmit path fine DUC NCO test tone scale

+
+ +
+
+property tx_ddr_offload#
+

tx_ddr_offload: Enable DDR offload

+

When true the DMA will pass buffers into the BRAM FIFO for data repeating. +This is necessary when operating at high DAC sample rates. This can reduce +the maximum buffer size but data passed to DACs in cyclic mode will not +underflow due to memory bottlenecks.

+
+ +
+
+property tx_main_nco_frequencies#
+

tx_main_nco_frequencies: Transmit path coarse DUC NCO frequencies

+
+ +
+
+property tx_main_nco_phases#
+

tx_main_nco_phases: Transmit path coarse DUC NCO phases

+
+ +
+
+property tx_main_nco_test_tone_en#
+

tx_main_nco_test_tone_en: Transmit path coarse DUC NCO test tone enable

+
+ +
+
+property tx_main_nco_test_tone_scales#
+

tx_main_nco_test_tone_scales: Transmit path coarse DUC NCO test tone scale

+
+ +
+
+property tx_sample_rate#
+

tx_sampling_frequency: Sample rate before interpolation

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9084_mc.html b/main/devices/adi.ad9084_mc.html new file mode 100644 index 000000000..6312804db --- /dev/null +++ b/main/devices/adi.ad9084_mc.html @@ -0,0 +1,407 @@ + + + + + + + + ad9084_mc — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9084_mc#

+

The multi-chip python interface for ad9084 is scalable to any number of ad9084s within a single libIIO context. It will automatically determine the correct main driver, manage the CDDC/FDDC/CDUC/FDUC arrangement uniquely for each chip, and DMA/DDS IP. However, the interface a bit unique with pyadi-iio since it is almost identical to the single ad9084 class but it exposes properties in a slightly different way.

+

When using adi.ad9084, properties are generally simple types like strings, ints, floats, or lists of these types. For example, when reading back the rx_channel_nco_frequencies you would observe something like:

+
>>> import adi
+>>> dev = adi.ad9084()
+>>> dev.rx_channel_nco_frequencies
+[0, 0, 0, 0]
+
+
+

For the case of a multi-chip configuration a dict is returned with an entry for each MxFE chip:

+
>>> import adi
+>>> dev = adi.ad9084_mc()
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9084-rx1': [0, 0, 0, 0],
+ 'axi-ad9084-rx2': [0, 0, 0, 0],
+ 'axi-ad9084-rx3': [0, 0, 0, 0],
+ 'axi-ad9084-rx-hpc': [0, 0, 0, 0]}
+
+
+

The same dict can be passed back to the property when writing, which will contain all or a subset of the chips to be address if desired. Alternatively, a list can be passed with only the values themselves if a dict does not want to be used. This is useful when performing array based DSP were data is approach in aggregate. However, in this case entries must be provided for all chip, not just a subset. Otherwise an error is returned.

+

When passing a list only, the chips are address based on the attribute _default_ctrl_names. Below is an example of this API:

+
>>> import adi
+>>> dev = adi.ad9084_mc()
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9084-rx1': [0, 0, 0, 0],
+ 'axi-ad9084-rx2': [0, 0, 0, 0],
+ 'axi-ad9084-rx3': [0, 0, 0, 0],
+ 'axi-ad9084-rx-hpc': [0, 0, 0, 0]}
+>>> dev.rx_channel_nco_frequencies = [0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15]
+>>> dev.rx_channel_nco_frequencies
+{'axi-ad9084-rx1': [0, 1, 2, 3],
+ 'axi-ad9084-rx2': [4, 5, 6, 7],
+ 'axi-ad9084-rx3': [8, 9, 10, 11],
+ 'axi-ad9084-rx-hpc': [12, 13, 14, 15]}
+
+
+
+
+class adi.ad9084_mc.Triton(uri='', calibration_board_attached=False)#
+

Bases: ad9084_mc

+

Quad ad9084 Mixed-Signal Front End (MxFE) Development System

+
+
parameters:
+
uri: type=string

Optional parameter for the URI of IIO context with QuadMxFE.

+
+
+
+
+
+
+property rx_dsa_gain#
+

rx_dsa_gain: Receiver digital step attenuator gain

+
+ +
+ +
+
+class adi.ad9084_mc.ad9084_mc(uri='', phy_dev_name='')#
+

Bases: ad9084

+

ad9084 Mixed-Signal Front End (MxFE) Multi-Chip Interface

+
+

This class is a generic interface for boards that utilize multiple ad9084 +devices.

+
+
+
parameters:
+
uri: type=string

Optional parameter for the URI of IIO context with ad9084(s).

+
+
phy_dev_name: type=string

Optional parameter name of main control driver for multi-ad9084 board. +If no argument is given the driver with the most channel attributes is +assumed to be the main PHY driver

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9094.html b/main/devices/adi.ad9094.html new file mode 100644 index 000000000..e98a3b7b4 --- /dev/null +++ b/main/devices/adi.ad9094.html @@ -0,0 +1,333 @@ + + + + + + + + ad9094 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9094#

+
+
+class adi.ad9094.ad9094(uri='')#
+

Bases: sync_start, rx, context_manager

+

AD9094 Quad ADC

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9136.html b/main/devices/adi.ad9136.html new file mode 100644 index 000000000..587becc94 --- /dev/null +++ b/main/devices/adi.ad9136.html @@ -0,0 +1,349 @@ + + + + + + + + ad9136 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9136#

+
+
+class adi.ad9136.ad9136(uri='', username='root', password='analog')#
+

Bases: tx, context_manager, sync_start

+

AD9136 High-Speed DAC

+
+
+property jesd204_statuses#
+

jesd204_statuses: JESD204 low-level driver data.

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9144.html b/main/devices/adi.ad9144.html new file mode 100644 index 000000000..24313a994 --- /dev/null +++ b/main/devices/adi.ad9144.html @@ -0,0 +1,342 @@ + + + + + + + + ad9144 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9144#

+
+
+class adi.ad9144.ad9144(uri='')#
+

Bases: tx, context_manager, sync_start

+

AD9144 High-Speed DAC

+
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9152.html b/main/devices/adi.ad9152.html new file mode 100644 index 000000000..a75afaf6d --- /dev/null +++ b/main/devices/adi.ad9152.html @@ -0,0 +1,342 @@ + + + + + + + + ad9152 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9152#

+
+
+class adi.ad9152.ad9152(uri='')#
+

Bases: tx, context_manager, sync_start

+

AD9152 High-Speed DAC

+
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9162.html b/main/devices/adi.ad9162.html new file mode 100644 index 000000000..39db73d8f --- /dev/null +++ b/main/devices/adi.ad9162.html @@ -0,0 +1,366 @@ + + + + + + + + adi.ad9162 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.ad9162 module#

+
+
+class adi.ad9162.ad9162(uri='', username='root', password='analog')#
+

Bases: tx, context_manager, sync_start

+

AD9162 16-Bit, 12 GSPS, RF DAC

+
+
+property fir85_enable#
+
+ +
+
+property frequency_nco#
+
+ +
+
+property jesd204_statuses#
+
+ +
+
+property sample_rate#
+

sample_rate: Sample frequency rate TX path in samples per second.

+
+ +
+
+property scale#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9166.html b/main/devices/adi.ad9166.html new file mode 100644 index 000000000..8f76817c8 --- /dev/null +++ b/main/devices/adi.ad9166.html @@ -0,0 +1,438 @@ + + + + + + + + adi.ad9166 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.ad9166 module#

+
+
+class adi.ad9166.ad9166(uri='')#
+

Bases: attribute, context_manager

+

AD9166 Vector Signal Generator

+
+
+property FIR85_enable#
+

FIR85_enable: AD9166 FIR85 Filter Enable:

+
+
Options:

True: FIR85 Filter is enabled +False: FIR85 Filter is disabled

+
+
+
+ +
+
+channel = []#
+
+ +
+
+property frequency#
+

frequency: AD916x channel nco frequency value in hz.

+
+ +
+
+property nco_enable#
+

nco_enable: AD9166 NCO Modulation Enable:

+
+
Options:

True: NCO Modulation is enabled +False: NCO Modulation is disabled

+
+
+
+ +
+
+property raw#
+

raw: AD916x channel raw value. Integer range 0-32767.

+
+ +
+
+property sample_rate#
+

sample_rate: Sets sampling frequency of the AD916x

+
+ +
+
+property sample_rate_available#
+
+ +
+
+property temperature#
+

temperature: Returns the AD916x Chip Temperature in Celsius

+
+ +
+
+property temperature_cal#
+

temperature_cal: AD9166 Chip Temperature single point calibration value. +Enter the ambient temperature in degree Celsius.

+
+ +
+
+property temperature_code#
+

temperature_code: Returns the AD916x Chip Temperature ADC code

+
+ +
+
+property temperature_enable#
+

temperature_enable: AD9166 Chip Temperature Measurement Enable

+
+
Options:

True: Temperature measurement is enabled +False: Temperature measurement is disabled

+
+
+
+ +
+
+property tx_enable#
+

tx_enable: AD9166 TX Enable

+
+
Options:

True: TX is enabled (Datapath is connected to DAC) +False: TX is disabled or (DAC input is zeroed)

+
+
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9172.html b/main/devices/adi.ad9172.html new file mode 100644 index 000000000..ed562ad4b --- /dev/null +++ b/main/devices/adi.ad9172.html @@ -0,0 +1,342 @@ + + + + + + + + adi.ad9172 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9172 module#

+
+
+class adi.ad9172.ad9172(uri='')#
+

Bases: tx, context_manager, sync_start

+

AD9172 High-Speed DAC

+
+
+property sample_rate#
+

sample_rate: Sample rate TX path in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9213.html b/main/devices/adi.ad9213.html new file mode 100644 index 000000000..208c927b6 --- /dev/null +++ b/main/devices/adi.ad9213.html @@ -0,0 +1,333 @@ + + + + + + + + ad9213 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9213#

+
+
+class adi.ad9213.ad9213(*args: str | Context, **kwargs: str | Context)#
+

Bases: rx_def, context_manager

+

AD9213 High-Speed ADC

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9250.html b/main/devices/adi.ad9250.html new file mode 100644 index 000000000..14b49a46c --- /dev/null +++ b/main/devices/adi.ad9250.html @@ -0,0 +1,349 @@ + + + + + + + + adi.ad9250 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9250 module#

+
+
+class adi.ad9250.ad9250(uri='', username='root', password='analog')#
+

Bases: rx, context_manager, sync_start

+

AD9250 High-Speed ADC

+
+
+property jesd204_statuses#
+
+ +
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9265.html b/main/devices/adi.ad9265.html new file mode 100644 index 000000000..7e0e454ec --- /dev/null +++ b/main/devices/adi.ad9265.html @@ -0,0 +1,344 @@ + + + + + + + + adi.ad9265 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9265 module#

+
+
+class adi.ad9265.ad9265(uri='')#
+

Bases: rx, context_manager

+

AD9265 High-Speed ADC

+
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard +pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad936x.html b/main/devices/adi.ad936x.html new file mode 100644 index 000000000..662d44053 --- /dev/null +++ b/main/devices/adi.ad936x.html @@ -0,0 +1,457 @@ + + + + + + + + ad936x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ad936x#

+
+
+class adi.ad936x.Pluto(*args: str | Context, **kwargs: str | Context)#
+

Bases: ad9364, _dec_int_fpga_filter

+

PlutoSDR Evaluation Platform

+
+ +
+
+class adi.ad936x.ad9361(*args: str | Context, **kwargs: str | Context)#
+

Bases: ad9364

+

AD9361 Transceiver

+
+
+property gain_control_mode_chan1#
+

gain_control_mode_chan1: Mode of receive path AGC. Options are: +slow_attack, fast_attack, manual

+
+ +
+
+property rx_hardwaregain_chan1#
+

rx_hardwaregain_chan1: Gain applied to RX path. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property tx_hardwaregain_chan1#
+

tx_hardwaregain_chan1: Attenuation applied to TX path

+
+ +
+ +
+
+class adi.ad936x.ad9363(*args: str | Context, **kwargs: str | Context)#
+

Bases: ad9361

+

AD9363 Transceiver

+
+ +
+
+class adi.ad936x.ad9364(*args: str | Context, **kwargs: str | Context)#
+

Bases: rx_tx_def, context_manager

+

AD9364 Transceiver

+
+
+property filter#
+

Load FIR filter file. Provide path to filter file to attribute

+
+ +
+
+property gain_control_mode_chan0#
+

gain_control_mode_chan0: Mode of receive path AGC. Options are: +slow_attack, fast_attack, manual

+
+ +
+
+property loopback#
+

loopback: Set loopback mode. Options are: +0 (Disable), 1 (Digital), 2 (RF)

+
+ +
+
+property rx_hardwaregain_chan0#
+

rx_hardwaregain_chan0: Gain applied to RX path. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_lo#
+

rx_lo: Carrier frequency of RX path

+
+ +
+
+property rx_rf_bandwidth#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second

+
+ +
+
+property tx_hardwaregain_chan0#
+

tx_hardwaregain_chan0: Attenuation applied to TX path

+
+ +
+
+property tx_lo#
+

tx_lo: Carrier frequency of TX path

+
+ +
+
+property tx_rf_bandwidth#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad937x.html b/main/devices/adi.ad937x.html new file mode 100644 index 000000000..ee7ec6d9a --- /dev/null +++ b/main/devices/adi.ad937x.html @@ -0,0 +1,930 @@ + + + + + + + + ad937x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad937x#

+
+
+class adi.ad937x.ad9371(uri='', username='root', password='analog', disable_jesd_control=False)#
+

Bases: rx_tx, context_manager, sync_start

+

AD9371 Transceiver

+
+
+property ensm_mode#
+

ensm_mode: Enable State Machine State Allows real time control over +the current state of the device. Options are: radio_on, radio_off

+
+ +
+
+property gain_control_mode#
+

gain_control_mode: Mode of receive path AGC. Options are: +automatic, hybrid, manual

+
+ +
+
+property jesd204_statuses#
+
+ +
+
+property obs_gain_control_mode#
+

obs_gain_control_mode: Mode of Obs/Sniffer receive path AGC. Options are: +automatic, hybrid, manual

+
+ +
+
+property obs_hardwaregain#
+

obs_hardwaregain: Gain applied to Obs/Sniffer receive path chan0. Only applicable when +obs_gain_control_mode is set to ‘manual’

+
+ +
+
+property obs_quadrature_tracking_en#
+

Enable Quadrature tracking calibration for OBS chan0

+
+ +
+
+property obs_rf_port_select#
+

obs_rf_port_select: Observation path source. Options are:

+
    +
  • OFF - SnRx path is disabled

  • +
  • ORX1_TX_LO – SnRx operates in observation mode on ORx1 with Tx LO synthesizer

  • +
  • ORX2_TX_LO – SnRx operates in observation mode on ORx2 with Tx LO synthesizer

  • +
  • INTERNALCALS – enables scheduled Tx calibrations while using SnRx path. The enableTrackingCals function needs to be called in RADIO_OFF state. It sets the calibration mask, which the scheduler will later use to schedule the desired calibrations. This command is issued in RADIO_OFF. Once the AD9371 moves to RADIO_ON state, the internal scheduler will use the enabled calibration mask to schedule calibrations whenever possible, based on the state of the transceiver. The Tx calibrations will not be scheduled until INTERNALCALS is selected and the Tx calibrations are enabled in the cal mask.

  • +
  • OBS_SNIFFER – SnRx operates in sniffer mode with latest selected Sniffer Input – for hardware pin control operation. In pin mode, the GPIO pins designated for ORX_MODE would select SNIFFER mode. Then MYKONOS_setSnifferChannel function would choose the channel.

  • +
  • ORX1_SN_LO – SnRx operates in observation mode on ORx1 with SNIFFER LO synthesizer

  • +
  • ORX2_SN_LO – SnRx operates in observation mode on ORx2 with SNIFFER LO synthesizer

  • +
  • SN_A – SnRx operates in sniffer mode on SnRxA with SNIFFER LO synthesizer

  • +
  • SN_B – SnRx operates in sniffer mode on SnRxB with SNIFFER LO synthesizer

  • +
  • SN_C – SnRx operates in sniffer mode on SnRxC with SNIFFER LO synthesizer

  • +
+
+ +
+
+property obs_temp_comp_gain#
+

obs_temp_comp_gain:

+
+ +
+
+property orx_sample_rate#
+

orx_sample_rate: Sample rate ORX path in samples per second +This value will reflect the correct value when 8x decimator is enabled

+
+ +
+
+property profile#
+

Load profile file. Provide path to profile file to attribute

+
+ +
+
+property rx_enable_dec8#
+

rx_enable_dec8: Enable x8 decimation filter in RX path

+
+ +
+
+property rx_hardwaregain_chan0#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan1#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_lo#
+

rx_lo: Carrier frequency of RX path

+
+ +
+
+property rx_quadrature_tracking_en_chan0#
+

Enable Quadrature tracking calibration for RX1

+
+ +
+
+property rx_quadrature_tracking_en_chan1#
+

Enable Quadrature tracking calibration for RX2

+
+ +
+
+property rx_rf_bandwidth#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property rx_sample_rate#
+

rx_sample_rate: Sample rate RX path in samples per second +This value will reflect the correct value when 8x decimator is enabled

+
+ +
+
+property rx_temp_comp_gain_chan0#
+

rx_temp_comp_gain_chan0:

+
+ +
+
+property rx_temp_comp_gain_chan1#
+

rx_temp_comp_gain_chan1:

+
+ +
+
+property sn_lo#
+

sn_lo: Carrier frequency of Sniffer/ORx path

+
+ +
+
+property tx_enable_int8#
+

tx_enable_int8: Enable x8 interpolation filter in TX path

+
+ +
+
+property tx_hardwaregain_chan0#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan1#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+property tx_lo#
+

tx_lo: Carrier frequency of TX path

+
+ +
+
+property tx_quadrature_tracking_en_chan0#
+

Enable Quadrature tracking calibration for TX1

+
+ +
+
+property tx_quadrature_tracking_en_chan1#
+

Enable Quadrature tracking calibration for TX2

+
+ +
+
+property tx_rf_bandwidth#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+
+property tx_sample_rate#
+

tx_sample_rate: Sample rate TX path in samples per second +This value will reflect the correct value when 8x interpolator is enabled

+
+ +
+ +
+
+class adi.ad937x.ad9375(uri='', username='root', password='analog', disable_jesd_control=False)#
+

Bases: ad9371

+

AD9375 Transceiver

+
+
+property tx_clgc_current_gain_chan0#
+
+ +
+
+property tx_clgc_current_gain_chan1#
+

tx_clgc_current_gain: Current measured gain in 1/100ths dB scale in channel 1. +Current GaindB = currentGain/100

+
+ +
+
+property tx_clgc_desired_gain_chan0#
+

tx_clgc_desired_gain: Desired gain from channel 0 output to orx input. +Desired_gain (dB) = Desired_gain/100

+
+ +
+
+property tx_clgc_desired_gain_chan1#
+

tx_clgc_desired_gain: Desired gain from channel 1 output to orx input. +Desired_gain (dB) = Desired_gain/100

+
+ +
+
+property tx_clgc_orx_rms_chan0#
+

tx_clgc_orx_rms: RMS orx digital sample power measured in the DPD block on the orx side is returned +with measurement resolution of 0.01 dB for channel 0. Prms dBFs = orxRMS/100

+
+ +
+
+property tx_clgc_track_count_chan0#
+

tx_clgc_track_count: The control reads back the number of times the CLGC has successfully run since +CLGC initialization calibration for channel 0

+
+ +
+
+property tx_clgc_track_count_chan1#
+

tx_clgc_track_count: The control reads back the number of times the CLGC has successfully run since +CLGC initialization calibration for channel 1

+
+ +
+
+property tx_clgc_tracking_en_chan0#
+

Enable CLGC tracking for channel 0

+
+ +
+
+property tx_clgc_tracking_en_chan1#
+

Enable CLGC tracking for channel 1

+
+ +
+
+property tx_clgc_tx_gain_chan0#
+

tx_clgc_tx_gain: It controls the current channel 0 attenuation for a channel in 0.05 dB resolution. +Tx_Attenuation(dB) = Tx_gain/200

+
+ +
+
+property tx_clgc_tx_gain_chan1#
+

tx_clgc_tx_gain: It controls the current channel 1 attenuation for a channel in 0.05 dB resolution. Tx_Attenuation(dB) = Tx_gain/200

+
+ +
+
+property tx_clgc_tx_rms_chan0#
+

tx_clgc_tx_rms: The controls returns the RMS channel 0 digital sample power measured at DPD actuator output +with measurement resolution of 0.01 dB. Prms dBFs = txRMS/100

+
+ +
+
+property tx_clgc_tx_rms_chan1#
+

tx_clgc_tx_rms: The controls returns the RMS channel 1 digital sample power measured at DPD actuator output +with measurement resolution of 0.01 dB. Prms dBFs = txRMS/100

+
+ +
+
+property tx_dpd_actuator_en_chan0#
+

Enable DPD actuator for channel 0

+
+ +
+
+property tx_dpd_actuator_en_chan1#
+

Enable DPD actuator for channel 1

+
+ +
+
+property tx_dpd_external_path_delay_chan0#
+

tx_dpd_external_path_delay: The control reads back the external path delay +from channel 0 output to orx input at 1/16 sample resolution of the ORx sample rate

+
+ +
+
+property tx_dpd_external_path_delay_chan1#
+

tx_dpd_external_path_delay: The control reads back the external path delay +from channel 1 output to orx input at 1/16 sample resolution of the ORx sample rate

+
+ +
+
+property tx_dpd_model_error_chan0#
+

tx_dpd_model_error: The control reads back the percent error of the PA model ×10 to include 1 decimal place for channel 0

+
+ +
+
+property tx_dpd_model_error_chan1#
+

tx_dpd_model_error: The control reads back the percent error of the PA model ×10 to include 1 decimal place for channel 1

+
+ +
+
+tx_dpd_reset_en_chan0(value)#
+

Enable DPD reset for channel 0

+
+ +
+
+tx_dpd_reset_en_chan1(value)#
+

Enable DPD reset for channel 1

+
+ +
+
+property tx_dpd_status_chan0#
+

tx_dpd_status: It reads back the DPD calibration status from the ARM processor for channel 0

+
+ +
+
+property tx_dpd_status_chan1#
+

tx_dpd_status: It reads back the DPD calibration status from the ARM processor for channel 1

+
+ +
+
+property tx_dpd_track_count_chan0#
+

tx_dpd_track_count: It reads back the number of times the DPD has successfully run since +DPD initialization calibration for channel 0

+
+ +
+
+property tx_dpd_track_count_chan1#
+

tx_dpd_track_count: It reads back the number of times the DPD has successfully run since +DPD initialization calibration for channel 1

+
+ +
+
+property tx_dpd_tracking_en_chan0#
+

Enable DPD tracking for channel 0

+
+ +
+
+property tx_dpd_tracking_en_chan1#
+

Enable DPD tracking for channel 1

+
+ +
+
+property tx_vswr_forward_gain_chan0#
+

tx_vswr_forward: Forward rms gain measured from channel 0 to orx path. 0.01 dB = 1

+
+ +
+
+property tx_vswr_forward_gain_chan1#
+

tx_vswr_forward: Forward rms gain measured from channel 1 to orx path. 0.01 dB = 1

+
+ +
+
+property tx_vswr_forward_gain_imag_chan0#
+

tx_vswr_forward_gain_imag: Imaginary part of the forward path complex gain for channel 0 (1 = 0.01 linear gain)

+
+ +
+
+property tx_vswr_forward_gain_imag_chan1#
+
+ +
+
+property tx_vswr_forward_gain_real_chan0#
+

tx_vswr_forward_gain_real: Real part of the forward path complex gain for channel 0 (1 = 0.01 linear gain)

+
+ +
+
+property tx_vswr_forward_gain_real_chan1#
+

tx_vswr_forward_gain_real: Real part of the forward path complex gain for channel 1 (1 = 0.01 linear gain)

+
+ +
+
+property tx_vswr_forward_orx_chan0#
+

tx_vswr_forward_orx: RMS Orx digital sample power measured at DPD block for ORx data in the forward measurement mode +with measurement resolution of 0.01 dB and 21 dB offset for channel 0. Prms dBFS = txRms/100 + 21 dB

+
+ +
+
+property tx_vswr_forward_tx_chan0#
+

tx_vswr_forward_tx: RMS Tx digital sample power measured at DPD block for ORx data in the forward measurement mode +with measurement resolution of 0.01 dB and 21 dB offset for channel 0. Prms dBFS = txRms/100 + 21 dB

+
+ +
+
+property tx_vswr_forward_tx_chan1#
+

tx_vswr_forward_tx: RMS Tx digital sample power measured at DPD block for ORx data in the forward measurement mode +with measurement resolution of 0.01 dB and 21 dB offset for channel 1. Prms dBFS = txRms/100 + 21 dB

+
+ +
+
+property tx_vswr_reflected_gain_chan0#
+

tx_vswr_reflected_gain: Reflected path gain in RMS for channel 0. 1 = 0.01 dB gain

+
+ +
+
+property tx_vswr_reflected_gain_chan1#
+

tx_vswr_reflected_gain: Reflected path gain in RMS for channel 1. 1 = 0.01 dB gain

+
+ +
+
+property tx_vswr_reflected_gain_imag_chan0#
+

tx_vswr_reflected_gain_imag: Imaginary part of the reflected path complex gain for channel 0. 1 = 0.01 linear gain

+
+ +
+
+property tx_vswr_reflected_gain_imag_chan1#
+

tx_vswr_reflected_gain_imag: Imaginary part of the reflected path complex gain for channel 1. 1 = 0.01 linear gain

+
+ +
+
+property tx_vswr_reflected_gain_real_chan0#
+

tx_vswr_reflected_gain_real: Real part of the reflected path complex gain for channel 0. 1 = 0.01 linear gain

+
+ +
+
+property tx_vswr_reflected_gain_real_chan1#
+

tx_vswr_reflected_gain_real: Real part of the reflected path complex gain for channel 1. 1 = 0.01 linear gain

+
+ +
+
+property tx_vswr_reflected_orx_chan0#
+

tx_vswr_reflected_orx: RMS ORx digital sample power measured at DPD block for the ORx data in the reverse measurement mode +with measurement resolution of 0.01 dB and 21 dB offset for channel 0. Prms dBFS = orxRms/100 + 21 dB

+
+ +
+
+property tx_vswr_reflected_tx_chan0#
+

tx_vswr_reflected_tx: RMS Tx digital sample power measured at DPD actuator for the reverse measurement +with measurement resolution of 0.01 dB and 21 dB offset for channel 0. Prms dBFS = txRms/100 + 21 dB

+
+ +
+
+property tx_vswr_reflected_tx_chan1#
+

tx_vswr_reflected_tx: RMS Tx digital sample power measured at DPD actuator for the reverse measurement +with measurement resolution of 0.01 dB and 21 dB offset for channel 1. Prms dBFS = txRms/100 + 21 dB

+
+ +
+
+property tx_vswr_track_count_chan0#
+

tx_vswr_track_count: The control reads back the number of times the VSWR has successfully run +since VSWR initialization calibration for channel 0

+
+ +
+
+property tx_vswr_track_count_chan1#
+

tx_vswr_track_count: The control reads back the number of times the VSWR has successfully run since +VSWR initialization calibration for channel 1

+
+ +
+
+property tx_vswr_tracking_en_chan0#
+

Enable VSWR tracking for channel 0

+
+ +
+
+property tx_vswr_tracking_en_chan1#
+

Enable VSWR tracking for channel 1

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9434.html b/main/devices/adi.ad9434.html new file mode 100644 index 000000000..9a9edec8c --- /dev/null +++ b/main/devices/adi.ad9434.html @@ -0,0 +1,344 @@ + + + + + + + + adi.ad9434 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9434 module#

+
+
+class adi.ad9434.ad9434(uri='')#
+

Bases: rx, context_manager

+

AD9434 High-Speed ADC

+
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard +pn_long pn_short one_zero_toggle user

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9467.html b/main/devices/adi.ad9467.html new file mode 100644 index 000000000..c9257c588 --- /dev/null +++ b/main/devices/adi.ad9467.html @@ -0,0 +1,343 @@ + + + + + + + + adi.ad9467 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9467 module#

+
+
+class adi.ad9467.ad9467(uri='')#
+

Bases: rx, context_manager

+

AD9467 High-Speed ADC

+
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9625.html b/main/devices/adi.ad9625.html new file mode 100644 index 000000000..033eb4f1b --- /dev/null +++ b/main/devices/adi.ad9625.html @@ -0,0 +1,371 @@ + + + + + + + + adi.ad9625 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.ad9625 module#

+
+
+class adi.ad9625.ad9625(uri='', username='root', password='analog')#
+

Bases: rx, context_manager, sync_start

+

AD9625 High-Speed ADC

+
+
+property jesd204_statuses#
+
+ +
+
+property rx_sample_rate#
+

rx_sample_rate: Sample rate RX path in samples per second

+
+ +
+
+property scale#
+

scale: AD9625 Gain

+
+ +
+
+property scale_available#
+

Provides all available scale settings for the AD9625

+
+ +
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off, midscale_short, pos_fullscale, neg_fullscale, checkerboard, +pn_long, pn_short, one_zero_toggle, user, ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9680.html b/main/devices/adi.ad9680.html new file mode 100644 index 000000000..12ba88f8b --- /dev/null +++ b/main/devices/adi.ad9680.html @@ -0,0 +1,343 @@ + + + + + + + + ad9680 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ad9680#

+
+
+class adi.ad9680.ad9680(uri='')#
+

Bases: rx, context_manager, sync_start

+

AD9680 High-Speed ADC

+
+
+property test_mode#
+

test_mode: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ad9739a.html b/main/devices/adi.ad9739a.html new file mode 100644 index 000000000..96a92b82a --- /dev/null +++ b/main/devices/adi.ad9739a.html @@ -0,0 +1,342 @@ + + + + + + + + adi.ad9739a module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ad9739a module#

+
+
+class adi.ad9739a.ad9739a(uri='')#
+

Bases: tx, context_manager

+

AD9739A 14-Bit, 2.5 GSPS, RF Digital-to-Analog Converter

+
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ada4961.html b/main/devices/adi.ada4961.html new file mode 100644 index 000000000..f15bbc982 --- /dev/null +++ b/main/devices/adi.ada4961.html @@ -0,0 +1,343 @@ + + + + + + + + adi.ada4961 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ada4961 module#

+
+
+class adi.ada4961.ada4961(uri='')#
+

Bases: context_manager, attribute

+

Low Distortion, 3.2 GHz, RF DGA

+
+
+property hardwaregain#
+

hardwaregain: Set hardware gain. Options are: +up to 15 dB

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adaq8092.html b/main/devices/adi.adaq8092.html new file mode 100644 index 000000000..a0ccd67d3 --- /dev/null +++ b/main/devices/adi.adaq8092.html @@ -0,0 +1,342 @@ + + + + + + + + adaq8092 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adaq8092#

+
+
+class adi.adaq8092.adaq8092(uri='')#
+

Bases: rx, context_manager

+

ADAQ8092 14-Bit, 105MSPS, Dual-Channel uModule Data Acquisition Solution

+
+
+property sampling_frequency#
+

Get Sampling Frequency.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adar1000.html b/main/devices/adi.adar1000.html new file mode 100644 index 000000000..6076780ca --- /dev/null +++ b/main/devices/adi.adar1000.html @@ -0,0 +1,1328 @@ + + + + + + + + adar1000 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adar1000#

+
+
+class adi.adar1000.adar1000(uri='', context=None, chip_id='csb1_chip1', device_number=1, array_element_map=None, channel_element_map=None)#
+

Bases: attribute, context_manager

+

ADAR1000 Beamformer

+
+
parameters:
+
uri: type=string

Optional parameter for the URI of IIO context with ADAR1000(s). If +not given,

+
+
context: type=iio.Context

Optional parameter for IIO Context handle for the device. Don’t use if +instantiating the adar1000 class directly. The adar1000_array class +will handle this if creating an array instance.

+
+
chip_id: type=string

Required string identifying the desired chip select and hardware ID +for the ADAR1000. If creating a single adar1000 instance, you can +typically leave the default value of “csb1_chip1” as long as the +device tree label matches. If creating an adar1000_array instance, +the array class will handle the instantiation of individual adar1000 +handles.

+
+
device_number: type=int

Required integer indicating the device number in the array. If creating +a single adar1000 instance, this value should be 1. If creating an +adar1000_array instance, the array class will handle the instantiation +of individual adar1000 handles.

+
+
array_element_map: type=list[list[int]]

Required list of lists with the map of where the array elements are +located in the physical array. Each entry in the map represents a +row of elements referenced by element number. For example, a map:

+
+
+
[[1, 5, 9, 13],
+
[2, 6, 10, 14],
+
[3, 7, 11, 15],
+
[4, 8, 12, 16]]
+
+
+

represents an array of 16 elements (4 ADAR1000s) in a 4x4 array. +If creating a single adar1000 instance, the elements should be 1-4 in +whatever configuration the physical array is (1x4, 2x2, 4x1, etc.). If +creating an adar1000_array instance, the array class will handle the +instantiation of individual adar1000 handles.

+
+
channel_element_map: type=list[int]

Required list of integers relating the array element numbers to the +channels of the ADAR1000 instance. Each number in the list is the +element number in the larger array, in order of the ADAR1000’s channels. +For example, a list [10, 14, 13, 9] indicates that the ADAR1000’s +channels are the following elements in the full array:

+
+
+
Channel 1: Element # 10
+
Channel 2: Element # 14
+
Channel 3: Element # 13
+
Channel 4: Element # 9
+
+
+

If creating a single adar1000 instance, the elements should be 1-4 in +order of the ADAR1000’s channels related to the array elements. If +creating an adar1000_array instance, the array class will handle the +instantiation of individual adar1000 handles.

+
+
+
+
+
+
+class adar1000_channel(adar_parent, adar_channel, array_element, row, column)#
+

Bases: object

+

Class for each channel of the ADAR1000. This class is not meant +to be instantiated directly. adar1000 objects will create their +own handles of this class, one for each channel

+
+
parameters:
+
adar1000_parent: type=adar1000

Parent ADAR1000 instance

+
+
adar_channel: type=int

Channel number of the parent corresponding to this channel

+
+
array_element: type=int

Element number of the array corresponding to this channel

+
+
row: int

Row number in the array

+
+
column: int

Column number in the array

+
+
+
+
+
+
+property adar1000_channel#
+
+ +
+
+property adar1000_parent#
+
+ +
+
+property array_element_number#
+

Element number in the array

+
+ +
+
+property column#
+

Element column number in the array

+
+ +
+
+property detector_power#
+

Get the detector power reading for the associated channel

+
+ +
+
+property pa_bias_off#
+

Get/Set PA_BIAS_OFF in voltage for the associated channel

+
+ +
+
+property pa_bias_on#
+

Get/Set PA_BIAS_ON in voltage for the associated channel

+
+ +
+
+property row#
+

Element row number in the array

+
+ +
+
+property rx_attenuator#
+

Get/Set Rx Attenuator state for the associated channel

+
+ +
+
+property rx_beam_state#
+

Get/Set the Channel Rx beam position used by RAM when +all channels point to individual states. Valid states are 0-120.

+
+ +
+
+property rx_enable#
+

Get/Set the Rx enable state for the associated channel

+
+ +
+
+property rx_gain#
+

Get/Set the Rx Gain for the associated channel

+
+ +
+
+property rx_phase#
+

Get/Set the Rx Phase for the associated channel

+
+ +
+
+save_rx_beam(state, attenuator, gain, phase)#
+

Save a beam to an Rx memory position

+
+
parameters:
+
state: int

State number to save. Valid options are 0 to 120

+
+
attenuator: bool

Attenuator state for the beam position. True means the attenuator is in place.

+
+
gain: int

Gain value for the beam position. Valid settings are 0 to 127.

+
+
phase: float

Phase value for the beam position.

+
+
+
+
+
+ +
+
+save_tx_beam(state, attenuator, gain, phase)#
+

Save a beam to a Tx memory position

+
+
parameters:
+
state: int

State number to save. Valid options are 0 to 120

+
+
attenuator: bool

Attenuator state for the beam position. True means the attenuator is in place.

+
+
gain: int

Gain value for the beam position. Valid settings are 0 to 127.

+
+
phase: float

Phase value for the beam position.

+
+
+
+
+
+ +
+
+property tx_attenuator#
+

Get/Set the Tx Attenuator state for the associated channel

+
+ +
+
+property tx_beam_state#
+

Get/Set the Channel Tx beam position used by RAM when +all channels point to individual states. Valid states are 0-120.

+
+ +
+
+property tx_enable#
+

Get/Set the Tx enable state for the associated channel

+
+ +
+
+property tx_gain#
+

Get/Set the Tx Gain for the associated channel

+
+ +
+
+property tx_phase#
+

Get/Set the Tx Phase for the associated channel

+
+ +
+ +
+
+property array_device_number#
+

Device number in the array

+
+ +
+
+property beam_mem_enable#
+

Get/Set enable bit for RAM control vs. SPI control of the beam state

+
+ +
+
+property bias_dac_enable#
+

Get/Set enable for bias DACs

+
+ +
+
+property bias_dac_mode#
+

Get/Set BIAS_CTRL bit (Register 0x30[6]) which controls whether the bias DACs stay at “ON” value, +or toggle with respect to T/R state.

+
+ +
+
+property bias_mem_enable#
+

Get/Set enable bit for RAM control vs. SPI control of the bias state

+
+ +
+
+property channel1#
+

Handle for the ADAR1000’s channel 1 object

+
+ +
+
+property channel2#
+

Handle for the ADAR1000’s channel 2 object

+
+ +
+
+property channel3#
+

Handle for the ADAR1000’s channel 3 object

+
+ +
+
+property channel4#
+

Handle for the ADAR1000’s channel 4 object

+
+ +
+
+property channels#
+

List of the ADAR1000’s channels, in order from 1 to 4

+
+ +
+
+property chip_id#
+

Chip ID including CSB and hardware address. Of the form “csbX_chipX” where csbX indicates the CSB +line for the IC and chipX indicating the hardware address of the chip, 1-4.

+
+ +
+
+property common_mem_enable#
+

Get/Set the CHX_RAM_BYPASS bits to use either a common beam state for all channels set by registers 0x39 +and 0x3A, or individual beam states set by registers 0x3D to 0x44.

+
+ +
+
+property common_rx_beam_state#
+

Get/Set the Rx beam position used by RAM when all +channels point to a common state. Valid states are 0-120.

+
+ +
+
+property common_tx_beam_state#
+

Get/Set the Tx beam position used by RAM when all +channels point to a common state. Valid states are 0-120.

+
+ +
+
+property external_tr_pin#
+

Get/Set which external T/R switch driver is used (“positive” = TR_SW_POS, “negative” = TR_SW_NEG)

+
+ +
+
+property external_tr_polarity#
+

Get/Set polarity of the T/R switch driver compared to the T/R state of the ADAR1000. +True outputs 0V in Rx mode, False outputs either 3.3V or -5V, depending on which T/R switch driver is enabled.

+
+ +
+
+generate_clocks()#
+

Generate CLK cycles before pulsing RX_LOAD or TX_LOAD

+
+ +
+
+initialize(pa_off=-2.5, pa_on=-2.5, lna_off=-2, lna_on=-2)#
+

Suggested initialization routine after powerup

+
+
parameters:
+
pa_off: float

Voltage to set the PA_BIAS_OFF values to during initialization

+
+
pa_on: float

Voltage to set the PA_BIAS_ON values to during initialization

+
+
lna_off: float

Voltage to set the LNA_BIAS_OFF values to during initialization

+
+
lna_on: float

Voltage to set the LNA_BIAS_ON values to during initialization

+
+
+
+
+
+ +
+
+latch_rx_settings()#
+

Latch in new Gain/Phase settings for the Rx

+
+ +
+
+latch_tx_settings()#
+

Latch in new Gain/Phase settings for the Tx

+
+ +
+
+property lna_bias_off#
+

Get/Set LNA_BIAS_OFF in voltage

+
+ +
+
+property lna_bias_on#
+

Get/Set LNA_BIAS_ON in voltage

+
+ +
+
+property lna_bias_out_enable#
+

Get/Set enable for LNA bias DAC output. Disable to allow for always-on self-biased LNAs

+
+ +
+
+property mode#
+

Get/Set the mode of operation for the device. Valid options are “rx”, “tx”, and “disabled”

+
+ +
+
+property pol_state#
+

Get/Set polarity switch state. True outputs -5V, False outputs 0V

+
+ +
+
+property pol_switch_enable#
+

Get/Set polarity switch driver enable state

+
+ +
+
+reset()#
+

Reset ADAR1000 to default settings

+
+ +
+
+property rx_bias_state#
+

Get/Set the Rx bias memory position when loading from RAM. Valid states are 1-7.

+
+ +
+
+property rx_lna_bias_current#
+

Get/Set Rx LNA bias current setting

+
+ +
+
+property rx_lna_enable#
+

Get/Set Rx LNA enable status

+
+ +
+
+property rx_sequencer_start#
+

Get/Set the Rx Sequencer’s starting position

+
+ +
+
+property rx_sequencer_stop#
+

Get/Set the Rx Sequencer’s ending position

+
+ +
+
+property rx_to_tx_delay_1#
+

Get/Set Rx to Tx Delay 1

+
+ +
+
+property rx_to_tx_delay_2#
+

Get/Set Rx to Tx Delay 2

+
+ +
+
+property rx_vga_enable#
+

Get/Set Rx VGA enable status

+
+ +
+
+property rx_vga_vm_bias_current#
+

Get/Set Rx VGA/Vector Modulator bias current setting

+
+ +
+
+property rx_vm_enable#
+

Get/Set Rx Vector Modulator enable status

+
+ +
+
+save_rx_bias(state, lna_bias_off, lna_bias_on, rx_vga_vm_bias_current, rx_lna_bias_current)#
+

Save a bias setting to an Rx memory position

+
+
parameters:
+
state: int

State number to save. Valid options are 1 to 7

+
+
lna_bias_off: float

LNA_BIAS_OFF voltage

+
+
lna_bias_on: float

LNA_BIAS_ON voltage

+
+
rx_vga_vm_bias_current: int

Bias current setting for the Rx VGA and Vector Modulator

+
+
rx_lna_bias_current: int

Bias current setting for the Rx LNA

+
+
+
+
+
+ +
+
+save_tx_bias(state, pa1_bias_off, pa2_bias_off, pa3_bias_off, pa4_bias_off, pa1_bias_on, pa2_bias_on, pa3_bias_on, pa4_bias_on, tx_vga_vm_bias_current, tx_pa_bias_current)#
+

Save a bias setting to a Tx memory position

+
+
parameters:
+
state: int

State number to save. Valid options are 1 to 7

+
+
pa1_bias_off: float

PA1_BIAS_OFF voltage

+
+
pa2_bias_off: float

PA2_BIAS_OFF voltage

+
+
pa3_bias_off: float

PA3_BIAS_OFF voltage

+
+
pa4_bias_off: float

PA4_BIAS_OFF voltage

+
+
pa1_bias_on: float

PA1_BIAS_ON voltage

+
+
pa2_bias_on: float

PA2_BIAS_ON voltage

+
+
pa3_bias_on: float

PA3_BIAS_ON voltage

+
+
pa4_bias_on: float

PA4_BIAS_ON voltage

+
+
tx_vga_vm_bias_current: int

Bias current setting for the Tx VGA and Vector Modulator

+
+
tx_lna_bias_current: int

Bias current setting for the Tx PA

+
+
+
+
+
+ +
+
+property sequencer_enable#
+

Get/Set sequencer enable status

+
+ +
+
+property temperature#
+

Get the temperature reading from the device

+
+ +
+
+property tr_source#
+

Get/Set TR source for the chip. Valid options are “external” or “spi”

+
+ +
+
+property tr_spi#
+

Get/Set T/R state using the SPI bit. Valid options are “tx” or “rx”

+
+ +
+
+property tr_switch_enable#
+

Get/Set T/R switch driver enable state

+
+ +
+
+property tx_bias_state#
+

Get/Set the Tx bias memory position when loading from RAM. Valid states are 1-7.

+
+ +
+
+property tx_pa_bias_current#
+

Get/Set Tx PA bias current setting

+
+ +
+
+property tx_pa_enable#
+

Get/Set Tx PA enable status

+
+ +
+
+property tx_sequencer_start#
+

Get/Set the Tx Sequencer’s starting position

+
+ +
+
+property tx_sequencer_stop#
+

Get/Set the Tx Sequencer’s ending position

+
+ +
+
+property tx_to_rx_delay_1#
+

Get/Set Tx to Rx Delay 1

+
+ +
+
+property tx_to_rx_delay_2#
+

Get/Set Tx to Rx Delay 2

+
+ +
+
+property tx_vga_enable#
+

Get/Set Tx VGA enable status

+
+ +
+
+property tx_vga_vm_bias_current#
+

Get/Set Tx VGA/Vector Modulator bias current setting

+
+ +
+
+property tx_vm_enable#
+

Get/Set Tx Vector Modulator enable status

+
+ +
+ +
+
+class adi.adar1000.adar1000_array(uri='', chip_ids=None, device_map=None, element_map=None, device_element_map=None)#
+

Bases: context_manager

+

ADAR1000 Beamformer Array

+
+
parameters:
+
uri: type=string

URI of IIO context with ADAR1000 array

+
+
chip_ids: type=list[string]

List of strings identifying desired chip select and hardware ID +for the ADAR1000. These strings are the labels coinciding with +each chip select and hardware address and are typically in the +form csbX_chipX. The csb line can be any number depending on how +many are used in the system. The chip number will typically be +1-4 because each CSB line can control up to four ADAR1000s. Note +that the order of the devices listed will correspond to the +device numbers in the array map directly.

+
+
device_map: type=list[list[int]]

List with the map of where the ADAR1000s are in the array. Each +entry in the map represents a row of ADAR1000s referenced by +device number. For example, a map:

+
+
+
[[1, 3, 5, 7],
+
[2, 4, 6, 8]]
+
+
+

represents an array of 8 ADAR1000s 4 wide and 2 tall.

+
+
element_map: type=list[list[int]]

List of lists with the map of where the array elements are in the +physical array. Each entry in the map represents a row of array +channels referenced by element number. For example, a map:

+
+
+
[[1, 5, 9, 13],
+
[2, 6, 10, 14],
+
[3, 7, 11, 15],
+
[4, 8, 12, 16]]
+
+
+

represents an array of 16 elements (4 ADAR1000s) in a square array.

+
+
device_element_map: type=dict[int, list[int]]

Dictionary with the map of ADAR1000 to array element references. Each +key in the map is a device number. The corresponding list of integers +represents the array element numbers connected to that ADAR1000, in +order of the ADAR1000’s channels. For example, an entry of +{3: [10, 14, 13, 9]} connects ADAR1000 #3 to array elements 10, 14, 13, +and 9. Element #10 is on the ADAR1000’s channel 1 while element #13 is +on the ADAR1000’s channel 3.

+
+
+
+
+
+
+property all_rx_attenuators#
+

Get/Set all Rx Attenuator settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+property all_rx_gains#
+

Get/Set all Rx Gain settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+property all_rx_phases#
+

Get/Set all Rx Phase settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+property all_tx_attenuators#
+

Get/Set all Tx Attenuator settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+property all_tx_gains#
+

Get/Set all Tx Gain settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+property all_tx_phases#
+

Get/Set all Tx Phase settings in the array. +The format is a list of lists where each row in the +array is a list entry in the larger list.

+
+ +
+
+calculate_phi(azimuth, elevation)#
+
+
Calculate the Φ angles to steer the array in a particular direction. This method assumes that the entire

array is one analog beam.

+
+
parameters:
+
azimuth: float

Desired beam angle in degrees for the horizontal direction.

+
+
elevation: float

Desired beam angle in degrees for the vertical direction.

+
+
+
+
+
+ +
+
+property device_map#
+

Get the map of ADAR1000s in the array

+
+ +
+
+property devices#
+

Dictionary representing all of the connected ADAR1000s. +The dictionary key is the chip_id for each device.

+
+ +
+
+property element_map#
+

Get the map of elements in the array

+
+ +
+
+property element_spacing#
+

Get/Set the element spacing for the array in meters

+
+ +
+
+property elements#
+

Dictionary representing all of the connected ADAR1000 elements sorted by element number. +The dictionary key is the element number for each device.

+
+ +
+
+property frequency#
+

Get/Set the board frequency in Hz

+
+ +
+
+initialize_devices(pa_off=-2.5, pa_on=-2.5, lna_off=-2, lna_on=-2)#
+

Suggested initialization routine after powerup

+
+
parameters:
+
pa_off: float

Voltage to set the PA_BIAS_OFF values to during initialization

+
+
pa_on: float

Voltage to set the PA_BIAS_ON values to during initialization

+
+
lna_off: float

Voltage to set the LNA_BIAS_OFF values to during initialization

+
+
lna_on: float

Voltage to set the LNA_BIAS_ON values to during initialization

+
+
+
+
+
+ +
+
+latch_rx_settings()#
+

Latch in new Gain/Phase settings for the Rx

+
+ +
+
+latch_tx_settings()#
+

Latch in new Gain/Phase settings for the Tx

+
+ +
+
+property rx_azimuth#
+

Get the Rx azimuth angle for the array in degrees

+
+ +
+
+property rx_azimuth_phi#
+

Get the Rx azimuth phi angle for the array in degrees

+
+ +
+
+property rx_elevation#
+

Get the Rx elevation angle for the array in degrees

+
+ +
+
+property rx_elevation_phi#
+

Get the Rx elevation phi angle for the array in degrees

+
+ +
+
+steer_rx(azimuth, elevation)#
+

Steer the Rx array in a particular direction. This method assumes that the entire array is one analog beam.

+
+
parameters:
+
azimuth: float

Desired beam angle in degrees for the horizontal direction.

+
+
elevation: float

Desired beam angle in degrees for the vertical direction.

+
+
+
+
+
+ +
+
+steer_tx(azimuth, elevation)#
+

Steer the Tx array in a particular direction. This method assumes that the entire array is one analog beam.

+
+
parameters:
+
azimuth: float

Desired beam angle in degrees for the horizontal direction.

+
+
elevation: float

Desired beam angle in degrees for the vertical direction.

+
+
+
+
+
+ +
+
+property temperatures#
+

Get the temperature readings of the ADAR1000s in a dictionary

+
+ +
+
+property tx_azimuth#
+

Get the Tx azimuth angle for the array in degrees

+
+ +
+
+property tx_azimuth_phi#
+

Get the Tx azimuth phi angle for the array in degrees

+
+ +
+
+property tx_elevation#
+

Get the Tx elevation angle for the array in degrees

+
+ +
+
+property tx_elevation_phi#
+

Get the Tx elevation phi angle for the array in degrees

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adf4159.html b/main/devices/adi.adf4159.html new file mode 100644 index 000000000..fcd307fdb --- /dev/null +++ b/main/devices/adi.adf4159.html @@ -0,0 +1,468 @@ + + + + + + + + adf4159 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adf4159#

+
+
+class adi.adf4159.adf4159(uri='')#
+

Bases: context_manager, attribute

+

ADF4159 is a 13 GHz Fractional-N Frequency Synthesizer

+
+
+property clk1_div_value#
+

Get/Set the PLL frequency deviation time.

+
+ +
+
+property clk1_mode#
+

Get/Set the mode of 1st clk.

+
+ +
+
+property clk2_div_value#
+

Get/Set the PLL frequency deviation time.

+
+ +
+
+property delay_clk#
+

Get/Set the clk delay enable.

+
+ +
+
+property delay_start_en#
+

Get/Set the delay start enable.

+
+ +
+
+property delay_word#
+

Get/Set the delay word.

+
+ +
+
+property enable#
+

Get/Set the enable status of the RF output.

+
+ +
+
+property freq_dev_range#
+

Get/Set the PLL frequency deviation range.

+
+ +
+
+property freq_dev_step#
+

Get/Set the PLL frequency deviation step.

+
+ +
+
+property freq_dev_time#
+

Get/Set the PLL frequency deviation time.

+
+ +
+
+property frequency#
+

Get/Set the Output Frequency of PLL.

+
+ +
+
+property muxout_sel#
+

Get/Set the PLL frequency deviation time.

+
+ +
+
+property phase_value#
+

Get/Set the PLL frequency deviation time.

+
+ +
+
+property ramp_delay_en#
+

Get/Set ramp delay enable.

+
+ +
+
+property ramp_en#
+

Get/Set the ramp enable.

+
+ +
+
+property ramp_mode#
+

Get/Set the Ramp output mode.

+
+ +
+
+property sing_ful_tri#
+

Get/Set Single-full-triangle-enable.

+
+ +
+
+property trig_delay_en#
+

Get/Set the txdata-trigger-delay-enable.

+
+ +
+
+property tx_trig_en#
+

Get/Set tx data trigger enable.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adf4355.html b/main/devices/adi.adf4355.html new file mode 100644 index 000000000..ce1c8aeee --- /dev/null +++ b/main/devices/adi.adf4355.html @@ -0,0 +1,366 @@ + + + + + + + + adi.adf4355 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.adf4355 module#

+
+
+class adi.adf4355.adf4355(uri='')#
+

Bases: attribute, context_manager

+

ADF4355 Microwave Wideband Synthesizer with Integrated VCO

+
+
parameters:
+
uri: type=string

URI of IIO context with ADF4355

+
+
+
+
+
+
+property frequency_altvolt0#
+
+ +
+
+property frequency_altvolt1#
+
+ +
+
+property powerdown_altvolt0#
+
+ +
+
+property powerdown_altvolt1#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adf4371.html b/main/devices/adi.adf4371.html new file mode 100644 index 000000000..58128f4ed --- /dev/null +++ b/main/devices/adi.adf4371.html @@ -0,0 +1,413 @@ + + + + + + + + adf4371 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adf4371#

+
+
+class adi.adf4371.adf4371(uri='')#
+

Bases: attribute, context_manager

+

ADF4371 Microwave Wideband Synthesizer +with Integrated VCO

+
+
parameters:
+
uri: type=string

URI of IIO context with ADF4371

+
+
+
+
+
+
+property muxout_mode#
+

Get/Set the MUX output mode

+
+ +
+
+property rf16_enable#
+

Get/Set the enable status of the 16GHz RF output

+
+ +
+
+property rf16_frequency#
+

Get/Set the frequency of the 16GHz RF output

+
+ +
+
+property rf32_enable#
+

Get/Set the enable status of the 32GHz RF output

+
+ +
+
+property rf32_frequency#
+

Get/Set the frequency of the 32GHz RF output

+
+ +
+
+property rf8_enable#
+

Get/Set the enable status of the 8GHz RF output

+
+ +
+
+property rf8_frequency#
+

Get/Set the frequency of the 8GHz RF output

+
+ +
+
+property rfaux8_enable#
+

Get/Set the enable status of the Auxiliary 8GHz RF output

+
+ +
+
+property rfaux8_frequency#
+

Get/Set the frequency of the Auxiliary 8GHz RF output

+
+ +
+
+property temperature#
+

Get the temperature reading

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adf5610.html b/main/devices/adi.adf5610.html new file mode 100644 index 000000000..e8e15cb4c --- /dev/null +++ b/main/devices/adi.adf5610.html @@ -0,0 +1,363 @@ + + + + + + + + adf5610 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adf5610#

+
+
+class adi.adf5610.adf5610(uri='', device_name='')#
+

Bases: attribute, context_manager

+

ADF5610 Microwave Wideband Synthesizer with Integrated VCO

+
+
parameters:
+
uri: type=string

URI of IIO context with ADF5610

+
+
+
+
+
+
+property frequency#
+

Get/Set the Frequency in Hz

+
+ +
+
+reg_read(reg)#
+

Direct Register Access via debugfs

+
+ +
+
+reg_write(reg, value)#
+

Direct Register Access via debugfs

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adg2128.html b/main/devices/adi.adg2128.html new file mode 100644 index 000000000..d76afe0ff --- /dev/null +++ b/main/devices/adi.adg2128.html @@ -0,0 +1,374 @@ + + + + + + + + adi.adg2128 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.adg2128 module#

+
+
+class adi.adg2128.adg2128(uri='')#
+

Bases: attribute, context_manager

+

ADG2128 cross point switch.

+
+
+add(addr)#
+

Add device by its i2c address.

+

Multiple devices may be added provided they all have their Y terminals +connected (common Y configuration). +1x adg2128 is represented by a 12 by 8 matrix +2x adg2128 is represented by a 24 by 8 matrix +…

+

Arguments: +addr - device address on i2c bus

+
+ +
+
+property immediate#
+

Specify whether the writing of a switch is immediate or not.

+

When it’s not immediate, the new switch configuration is only +latched into the device (see LDSW in datasheet).

+
+ +
+
+open_all()#
+

Open all switches.

+

For each device, iterate all x-y combinations and +open all the switches at once.

+
+ +
+ +
+
+class adi.adg2128.yline(dev, x, line)#
+

Bases: object

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16375.html b/main/devices/adi.adis16375.html new file mode 100644 index 000000000..39abc1ca5 --- /dev/null +++ b/main/devices/adi.adis16375.html @@ -0,0 +1,776 @@ + + + + + + + + adis16375 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16375#

+
+
+class adi.adis16375(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX

+

ADIS16375 Low Profile, Low Noise Six Degrees of Freedom Inertial Sensor

+
+
Args:

uri: URI of IIO context with ADIS16375 device +device_name: Name of the device in the IIO context. Default is adis16375 +trigger_name: Name of the trigger in the IIO context. Default is adis16375-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16375']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16460.html b/main/devices/adi.adis16460.html new file mode 100644 index 000000000..557f9ec6e --- /dev/null +++ b/main/devices/adi.adis16460.html @@ -0,0 +1,415 @@ + + + + + + + + adis16460 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adis16460#

+
+
+class adi.adis16460(uri='')#
+

Bases: rx, context_manager

+

ADIS16460 Compact, Precision, Six Degrees of Freedom Inertial Sensor

+
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16475.html b/main/devices/adi.adis16475.html new file mode 100644 index 000000000..952486fcd --- /dev/null +++ b/main/devices/adi.adis16475.html @@ -0,0 +1,689 @@ + + + + + + + + adis16475 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adis16475#

+
+
+class adi.adis16475(uri='', device_name='adis16505-2')#
+

Bases: rx, context_manager

+

ADIS16475 Compact, Precision, Six Degrees of Freedom Inertial Sensor

+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+property filter_low_pass_3db_frequency#
+

filter_low_pass_3db_frequency: Bandwidth for the accelerometer and +gyroscope channels

+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+reg_read(reg)#
+

Direct Register Access via debugfs

+
+ +
+
+reg_write(reg, value)#
+

Direct Register Access via debugfs

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16480.html b/main/devices/adi.adis16480.html new file mode 100644 index 000000000..84ed4f8eb --- /dev/null +++ b/main/devices/adi.adis16480.html @@ -0,0 +1,881 @@ + + + + + + + + adis16480 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16480#

+
+
+class adi.adis16480(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX_with_mag

+

ADIS16480 Ten Degrees of Freedom Inertial Sensor with Dynamic Orientation Outputs

+
+
Args:

uri: URI of IIO context with ADIS16480 device +device_name: Name of the device in the IIO context. Default is adis16480 +trigger_name: Name of the trigger in the IIO context. Default is adis16480-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16480']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_magn_x()#
+

Value returned in radians.

+
+ +
+
+get_magn_y()#
+

Value returned in radians.

+
+ +
+
+get_magn_z()#
+

Value returned in radians.

+
+ +
+
+get_pressure()#
+

Value returned in kilo Pascal.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property magn_x_calibbias#
+

User calibration offset for magnetometer for the x-axis.

+
+ +
+
+property magn_x_conv#
+

Value returned in radians.

+
+ +
+
+property magn_x_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the x-axis.

+
+ +
+
+property magn_y_calibbias#
+

User calibration offset for magnetometer for the y-axis.

+
+ +
+
+property magn_y_conv#
+

Value returned in radians.

+
+ +
+
+property magn_y_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the y-axis.

+
+ +
+
+property magn_z_calibbias#
+

User calibration offset for magnetometer for the z-axis.

+
+ +
+
+property magn_z_conv#
+

Value returned in radians.

+
+ +
+
+property magn_z_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the z-axis.

+
+ +
+
+property pressure_calibbias#
+

User calibration offset for pressure.

+
+ +
+
+property pressure_conv#
+

Value returned in kilo Pascal.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16485.html b/main/devices/adi.adis16485.html new file mode 100644 index 000000000..70b6d4251 --- /dev/null +++ b/main/devices/adi.adis16485.html @@ -0,0 +1,776 @@ + + + + + + + + adis16485 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16485#

+
+
+class adi.adis16485(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX

+

ADIS16485 Tactical Grade Six Degrees of Freedom MEMS Inertial Sensor

+
+
Args:

uri: URI of IIO context with ADIS16485 device +device_name: Name of the device in the IIO context. Default is adis16485 +trigger_name: Name of the trigger in the IIO context. Default is adis16485-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16485']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16488.html b/main/devices/adi.adis16488.html new file mode 100644 index 000000000..cfcb7456b --- /dev/null +++ b/main/devices/adi.adis16488.html @@ -0,0 +1,881 @@ + + + + + + + + adis16488 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16488#

+
+
+class adi.adis16488(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX_with_mag

+

ADIS16488 Tactical Grade Ten Degrees of Freedom Inertial Sensor

+
+
Args:

uri: URI of IIO context with ADIS16488 device +device_name: Name of the device in the IIO context. Default is adis16488 +trigger_name: Name of the trigger in the IIO context. Default is adis16488-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16488']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_magn_x()#
+

Value returned in radians.

+
+ +
+
+get_magn_y()#
+

Value returned in radians.

+
+ +
+
+get_magn_z()#
+

Value returned in radians.

+
+ +
+
+get_pressure()#
+

Value returned in kilo Pascal.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property magn_x_calibbias#
+

User calibration offset for magnetometer for the x-axis.

+
+ +
+
+property magn_x_conv#
+

Value returned in radians.

+
+ +
+
+property magn_x_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the x-axis.

+
+ +
+
+property magn_y_calibbias#
+

User calibration offset for magnetometer for the y-axis.

+
+ +
+
+property magn_y_conv#
+

Value returned in radians.

+
+ +
+
+property magn_y_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the y-axis.

+
+ +
+
+property magn_z_calibbias#
+

User calibration offset for magnetometer for the z-axis.

+
+ +
+
+property magn_z_conv#
+

Value returned in radians.

+
+ +
+
+property magn_z_filter_low_pass_3db_frequency#
+

Bandwidth for magnetometer for the z-axis.

+
+ +
+
+property pressure_calibbias#
+

User calibration offset for pressure.

+
+ +
+
+property pressure_conv#
+

Value returned in kilo Pascal.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16490.html b/main/devices/adi.adis16490.html new file mode 100644 index 000000000..d832ac4d3 --- /dev/null +++ b/main/devices/adi.adis16490.html @@ -0,0 +1,776 @@ + + + + + + + + adis16490 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16490#

+
+
+class adi.adis16490(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX

+

ADIS16490 Tactical Grade, Six Degrees of Freedom Inertial Sensor

+
+
Args:

uri: URI of IIO context with ADIS16490 device +device_name: Name of the device in the IIO context. Default is adis16490 +trigger_name: Name of the trigger in the IIO context. Default is adis16490-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16490']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16495.html b/main/devices/adi.adis16495.html new file mode 100644 index 000000000..2c7bab98d --- /dev/null +++ b/main/devices/adi.adis16495.html @@ -0,0 +1,780 @@ + + + + + + + + adis16495 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16495#

+
+
+class adi.adis16495(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX

+

ADIS16495-X Tactical Grade, Six Degrees of Freedom Inertial Sensor

+

This class is compatible with the following parts: +- adis16495-1 +- adis16495-2 +- adis16495-3

+
+
Args:

uri: URI of IIO context with ADIS16495 device +device_name: Name of the device in the IIO context. Default is adis16495-1 +trigger_name: Name of the trigger in the IIO context. Default is adis16495-1-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16495-1', 'adis16495-2', 'adis16495-3']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16497.html b/main/devices/adi.adis16497.html new file mode 100644 index 000000000..52a5bc511 --- /dev/null +++ b/main/devices/adi.adis16497.html @@ -0,0 +1,774 @@ + + + + + + + + adis16497 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16497#

+
+
+class adi.adis16497(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX

+

ADIS16497-X Ten Degrees of Freedom Inertial Sensor with Dynamic Orientation Outputs

+

This class is compatible with the following parts: +- adis16497-1 +- adis16497-2 +- adis16497-3

+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16497-1', 'adis16497-2', 'adis16497-3']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16507.html b/main/devices/adi.adis16507.html new file mode 100644 index 000000000..cb8114293 --- /dev/null +++ b/main/devices/adi.adis16507.html @@ -0,0 +1,357 @@ + + + + + + + + adis16507 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adis16507#

+
+
+class adi.adis16507.adis16507(uri='', imu_dev_name='adis16507-3', trigger_name='adis16507-3-dev0')#
+

Bases: rx, context_manager

+

ADIS16507 Precision, Miniature MEMS IMU

+
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property filter_low_pass_3db_frequency#
+

filter_low_pass_3db_frequency: Bandwidth for the accelerometer and +gyroscope channels

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16545.html b/main/devices/adi.adis16545.html new file mode 100644 index 000000000..f9002f109 --- /dev/null +++ b/main/devices/adi.adis16545.html @@ -0,0 +1,780 @@ + + + + + + + + adis16545 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16545#

+
+
+class adi.adis16545(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX_with_delta_angl

+

ADIS16545-X Tactical Grade, Six Degrees of Freedom Inertial Sensor

+

This class is compatible with the following parts: +- adis16545-1 +- adis16545-2 +- adis16545-3

+
+
Args:

uri: URI of IIO context with ADIS16545 device +device_name: Name of the device in the IIO context. Default is adis16545-1 +trigger_name: Name of the trigger in the IIO context. Default is adis16545-1-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16545-1', 'adis16545-2', 'adis16545-3']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adis16547.html b/main/devices/adi.adis16547.html new file mode 100644 index 000000000..0d6324aa1 --- /dev/null +++ b/main/devices/adi.adis16547.html @@ -0,0 +1,780 @@ + + + + + + + + adis16547 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adis16547#

+
+
+class adi.adis16547(uri='', device_name=None, trigger_name=None)#
+

Bases: adis16XXX_with_delta_angl

+

ADIS16547-X Tactical Grade, Six Degrees of Freedom Inertial Sensors

+

This class is compatible with the following parts: +- adis16547-1 +- adis16547-2 +- adis16547-3

+
+
Args:

uri: URI of IIO context with ADIS16547 device +device_name: Name of the device in the IIO context. Default is adis16547-1 +trigger_name: Name of the trigger in the IIO context. Default is adis16547-1-dev0

+
+
+
+
+property accel_x_calibbias#
+

User calibration offset for accelerometer for the x-axis.

+
+ +
+
+property accel_x_calibscale#
+

Calibscale value for accelerometer for the x-axis.

+
+ +
+
+property accel_x_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_x_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the x-axis.

+
+ +
+
+property accel_y_calibbias#
+

User calibration offset for accelerometer for the y-axis.

+
+ +
+
+property accel_y_calibscale#
+

Calibcale value for accelerometer for the y-axis.

+
+ +
+
+property accel_y_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_y_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the y-axis.

+
+ +
+
+property accel_z_calibbias#
+

User calibration offset for accelerometer for the z-axis.

+
+ +
+
+property accel_z_calibscale#
+

Calibscale for accelerometer for the z-axis.

+
+ +
+
+property accel_z_conv#
+

Value returned in meters per squared second.

+
+ +
+
+property accel_z_filter_low_pass_3db_frequency#
+

Bandwidth for accelerometer for the z-axis.

+
+ +
+
+property anglvel_x_calibbias#
+

User calibration offset for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_calibscale#
+

Calibscale value for gyroscope for the x-axis.

+
+ +
+
+property anglvel_x_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_x_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the x-axis.

+
+ +
+
+property anglvel_y_calibbias#
+

User calibration offset for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_calibscale#
+

Calibscale value for gyroscope for the y-axis.

+
+ +
+
+property anglvel_y_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_y_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the y-axis.

+
+ +
+
+property anglvel_z_calibbias#
+

User calibration offset for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_calibscale#
+

Calibscale value for gyroscope for the z-axis.

+
+ +
+
+property anglvel_z_conv#
+

Value returned in radians per second.

+
+ +
+
+property anglvel_z_filter_low_pass_3db_frequency#
+

Bandwidth for gyroscope for the z-axis.

+
+ +
+
+compatible_parts = ['adis16547-1', 'adis16547-2', 'adis16547-3']#
+
+ +
+
+property ctx: Context#
+

IIO Context

+
+ +
+
+property current_timestamp_clock#
+

current_timestamp_clock: Source clock for timestamps

+
+ +
+
+property deltaangl_x_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_y_conv#
+

Value returned in radians.

+
+ +
+
+property deltaangl_z_conv#
+

Value returned in radians.

+
+ +
+
+property deltavelocity_x_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_y_conv#
+

Value returned in meters per second.

+
+ +
+
+property deltavelocity_z_conv#
+

Value returned in meters per second.

+
+ +
+
+disable_trigger = False#
+
+ +
+
+property firmware_date#
+

firmware_date: the factory configuration date

+
+ +
+
+property firmware_revision#
+

firmware_revision: the firmware revision for the internal firmware

+
+ +
+
+property flash_count#
+

flash_counter: flash memory write count

+
+ +
+
+get_accel_x()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_y()#
+

Value returned in meters per squared second.

+
+ +
+
+get_accel_z()#
+

Value returned in meters per squared second.

+
+ +
+
+get_anglvel_x()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_y()#
+

Value returned in radians per second.

+
+ +
+
+get_anglvel_z()#
+

Value returned in radians per second.

+
+ +
+
+get_deltaangl_x()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_y()#
+

Value returned in radians.

+
+ +
+
+get_deltaangl_z()#
+

Value returned in radians.

+
+ +
+
+get_deltavelocity_x()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_y()#
+

Value returned in meters per second.

+
+ +
+
+get_deltavelocity_z()#
+

Value returned in meters per second.

+
+ +
+
+get_temp()#
+

Value returned in millidegrees Celsius.

+
+ +
+
+property product_id#
+

product_id: the numerical portion of the device number

+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_annotated: bool#
+

rx_annotated: Set output data from rx() to be annotated

+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples

+
+ +
+
+property rx_channel_names: List[str]#
+

rx_channel_names: List of RX channel names

+
+ +
+
+rx_destroy_buffer()#
+

rx_destroy_buffer: Clears RX buffer

+
+ +
+
+property rx_enabled_channels: List[int] | List[str]#
+

rx_enabled_channels: List of enabled channels (channel 1 is 0)

+

Either a list of channel numbers or channel names can be used to set +rx_enabled_channels. When channel names are used, they will be +translated to channel numbers.

+
+ +
+
+property rx_output_type: str#
+

rx_output_type: Set output data type from rx()

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second

+
+ +
+
+property serial_number#
+

serial_number: lot specific serial number

+
+ +
+
+property temp_conv#
+

Value returned in millidegrees Celsius.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adl5240.html b/main/devices/adi.adl5240.html new file mode 100644 index 000000000..ed52a6228 --- /dev/null +++ b/main/devices/adi.adl5240.html @@ -0,0 +1,342 @@ + + + + + + + + adi.adl5240 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.adl5240 module#

+
+
+class adi.adl5240.adl5240(uri='')#
+

Bases: attribute, context_manager

+

ADL5240 100 MHz TO 4000 MHz RF/IF Digitally Controlled VGA

+
+
+property hardwaregain#
+

hardwaregain: Attenuation applied to TX path

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adl5960.html b/main/devices/adi.adl5960.html new file mode 100644 index 000000000..101460d2f --- /dev/null +++ b/main/devices/adi.adl5960.html @@ -0,0 +1,433 @@ + + + + + + + + adl5960 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adl5960#

+
+
+class adi.adl5960.adl5960(uri='', device_name='')#
+

Bases: attribute, context_manager

+

ADL5960 10 MHz to 20 GHz, Integrated Vector Network Analyzer Front-End

+
+
parameters:
+
uri: type=string

URI of IIO context with ADL5960

+
+
+
+
+
+
+property forward_gain#
+

Get/Set Forward Gain

+
+ +
+
+property if_filter_cutoff#
+

Get/Set LPF 3db cutoff frequency (controls CIF1, CIF2)

+
+ +
+
+property if_frequency#
+

Get/Set the IF frequency in Hz

+
+ +
+
+property lo_frequency#
+

Get/Set the frequency in Hz

+
+ +
+
+property lo_mode#
+

Get/Set LO mode

+
+ +
+
+property lo_mode_available#
+

Get available LO modes

+
+ +
+
+property offset_frequency#
+

Get/Set the offset frequency in Hz

+
+ +
+
+property offset_mode#
+

Get/Set offset mode

+
+ +
+
+property offset_mode_available#
+

Get available offset modes

+
+ +
+
+property reflected_gain#
+

Get/Set Reflected Gain

+
+ +
+
+reg_read(reg)#
+

Direct Register Access via debugfs

+
+ +
+
+reg_write(reg, value)#
+

Direct Register Access via debugfs

+
+ +
+
+property temperature#
+

Get the temperature reading in °C

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.admv8818.html b/main/devices/adi.admv8818.html new file mode 100644 index 000000000..f0737945d --- /dev/null +++ b/main/devices/adi.admv8818.html @@ -0,0 +1,398 @@ + + + + + + + + admv8818 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

admv8818#

+
+
+class adi.admv8818.admv8818(uri='', device_name='')#
+

Bases: attribute, context_manager

+

ADMV8818 2 GHz to 18 GHz, Digitally Tunable, High-Pass and Low-Pass Filter

+
+
parameters:
+
uri: type=string

URI of IIO context with ADMV8818

+
+
+
+
+
+
+property band_pass_bandwidth_3db_frequency#
+

Get/Set the Band Pass 3dB Frequency in MHz

+
+ +
+
+property band_pass_center_frequency#
+

Get/Set the Band Pass Center Frequency in MHz

+
+ +
+
+property high_pass_3db_frequency#
+

Get/Set the Low Pass 3dB Frequency in MHz

+
+ +
+
+property low_pass_3db_frequency#
+

Get/Set the Low Pass 3dB Frequency in MHz

+
+ +
+
+property mode#
+

Get/Set mode

+
+ +
+
+property mode_available#
+

Get available modes

+
+ +
+
+reg_read(reg)#
+

Direct Register Access via debugfs

+
+ +
+
+reg_write(reg, value)#
+

Direct Register Access via debugfs

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adpd1080.html b/main/devices/adi.adpd1080.html new file mode 100644 index 000000000..3befc866d --- /dev/null +++ b/main/devices/adi.adpd1080.html @@ -0,0 +1,362 @@ + + + + + + + + adpd1080 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adpd1080#

+
+
+class adi.adpd1080.adpd1080(uri='', device_index=0)#
+

Bases: rx, context_manager

+

ADPD1080 photo-electronic device.

+
+
+channel = []#
+
+ +
+
+rx()#
+

Receive data from hardware buffers for each channel index in +rx_enabled_channels.

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property sample_rate#
+

Sets sampling frequency of the ADPD1080.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adpd188.html b/main/devices/adi.adpd188.html new file mode 100644 index 000000000..2056ea69d --- /dev/null +++ b/main/devices/adi.adpd188.html @@ -0,0 +1,355 @@ + + + + + + + + adpd188 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adpd188#

+
+
+class adi.adpd188.adpd188(uri='', device_index=0)#
+

Bases: rx, context_manager

+

ADPD188 photo-electronic device.

+
+
+channel = []#
+
+ +
+
+property mode#
+

Read mode of operation to device.

+
+ +
+
+property sample_rate#
+

Sets sampling frequency of the ADPD188.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adpd410x.html b/main/devices/adi.adpd410x.html new file mode 100644 index 000000000..70ca9362e --- /dev/null +++ b/main/devices/adi.adpd410x.html @@ -0,0 +1,362 @@ + + + + + + + + adpd410x — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adpd410x#

+
+
+class adi.adpd410x.adpd410x(uri='')#
+

Bases: rx, context_manager

+

adpd410x Multimodal Sensor Front End

+
+
+channel = []#
+
+ +
+
+property last_timeslot#
+

Get last timeslot of the adpd410x

+
+ +
+
+property operation_mode#
+

Get operation mode of the adpd410x

+
+ +
+
+property sampling_frequency#
+

Get sampling frequency of the adpd410x

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrf5720.html b/main/devices/adi.adrf5720.html new file mode 100644 index 000000000..d392bf4ed --- /dev/null +++ b/main/devices/adi.adrf5720.html @@ -0,0 +1,348 @@ + + + + + + + + adrf5720 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adrf5720#

+
+
+class adi.adrf5720.adrf5720(uri='', device_name='')#
+

Bases: attribute, context_manager

+

ADRF5720 Digital Attenuator

+
+
+property attenuation#
+

Sets attenuation of the ADRF5720

+
+ +
+
+channel = 'voltage0'#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrv9002.html b/main/devices/adi.adrv9002.html new file mode 100644 index 000000000..046257a73 --- /dev/null +++ b/main/devices/adi.adrv9002.html @@ -0,0 +1,891 @@ + + + + + + + + adrv9002 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adrv9002#

+
+
+class adi.adrv9002.adrv9002(uri='')#
+

Bases: rx_tx, context_manager

+

ADRV9002 Transceiver

+
+
+property agc_tracking_en_chan0#
+

Enable AGC on the fly tracking calibration for RX1

+
+ +
+
+property agc_tracking_en_chan1#
+

Enable AGC on the fly tracking calibration for RX2

+
+ +
+
+property api_version#
+

api_version: Get the version of the API

+
+ +
+
+property atten_control_mode_chan0#
+

Control TX1 attenuation mode. Options are: bypass, spi, pin

+
+ +
+
+property atten_control_mode_chan1#
+

Control TX2 attenuation mode. Options are: bypass, spi, pin

+
+ +
+
+property bbdc_rejection_tracking_en_chan0#
+

“Enable Baseband DC rejection on the fly tracking calibration for RX1

+
+ +
+
+property bbdc_rejection_tracking_en_chan1#
+

“Enable Baseband DC rejection on the fly tracking calibration for RX2

+
+ +
+
+property close_loop_gain_tracking_en_chan0#
+

Enable Close Loop Gain tracking calibration for TX1

+
+ +
+
+property close_loop_gain_tracking_en_chan1#
+

Enable Close Loop Gain tracking calibration for TX2

+
+ +
+
+property digital_gain_control_mode_chan0#
+

Digital gain control mode for RX1. Option are: automatic spi.

+
+ +
+
+property digital_gain_control_mode_chan1#
+

Digital gain control mode for RX2. Option are: automatic spi.

+
+ +
+
+property gain_control_mode_chan0#
+

gain_control_mode_chan0: Mode of receive path AGC. Options are: +spi, pin, automatic

+
+ +
+
+property gain_control_mode_chan1#
+

gain_control_mode_chan1: Mode of receive path AGC. Options are: +spi, pin, automatic

+
+ +
+
+property hd_tracking_en_chan0#
+

“Enable Harmonic Distortion on the fly tracking calibration for RX1

+
+ +
+
+property hd_tracking_en_chan1#
+

“Enable Harmonic Distortion on the fly tracking calibration for RX2

+
+ +
+
+property interface_gain_chan0#
+

interface_gain_chan0: Fixed input gain stage for channel 0. +Options are: 18dB 12dB 6dB 0dB -6dB -12dB -18dB -24dB -30dB -36dB

+
+ +
+
+property interface_gain_chan1#
+

interface_gain_chan0: Fixed input gain stage for channel 0. +Options are: 18dB 12dB 6dB 0dB -6dB -12dB -18dB -24dB -30dB -36dB

+
+ +
+
+property lo_leakage_tracking_en_chan0#
+

Enable LO Leakage tracking calibration for TX1

+
+ +
+
+property lo_leakage_tracking_en_chan1#
+

Enable LO Leakage tracking calibration for TX2

+
+ +
+
+property loopback_delay_tracking_en_chan0#
+

Enable Loopback delay tracking calibration for TX1

+
+ +
+
+property loopback_delay_tracking_en_chan1#
+

Enable Loopback delay tracking calibration for TX2

+
+ +
+
+property pa_correction_tracking_en_chan0#
+

Enable PA Correction tracking calibration for TX1

+
+ +
+
+property pa_correction_tracking_en_chan1#
+

Enable PA Correction tracking calibration for TX2

+
+ +
+
+property profile#
+
+ +
+
+property quadrature_fic_tracking_en_chan0#
+

Enable Quadrature Error Correction Narrowband FIC on the fly tracking +calibration for RX1

+
+ +
+
+property quadrature_fic_tracking_en_chan1#
+

Enable Quadrature Error Correction Narrowband FIC on the fly tracking +calibration for RX2

+
+ +
+
+property quadrature_tracking_en_chan0#
+

Enable Quadrature tracking calibration for TX1

+
+ +
+
+property quadrature_tracking_en_chan1#
+

Enable Quadrature tracking calibration for TX2

+
+ +
+
+property quadrature_w_poly_tracking_en_chan0#
+

Enable Quadrature Error Correction Wideband Poly on the fly tracking +calibration for RX1

+
+ +
+
+property quadrature_w_poly_tracking_en_chan1#
+

Enable Quadrature Error Correction Wideband Poly on the fly tracking +calibration for RX2

+
+ +
+
+property rfdc_tracking_en_chan0#
+

“Enable RF DC on the fly tracking calibration for RX1

+
+ +
+
+property rfdc_tracking_en_chan1#
+

“Enable RF DC on the fly tracking calibration for RX2

+
+ +
+
+property rssi_tracking_en_chan0#
+

“Enable RSSI on the fly tracking calibration for RX1

+
+ +
+
+property rssi_tracking_en_chan1#
+

“Enable RSSI on the fly tracking calibration for RX2

+
+ +
+
+property rx0_en#
+

Control RX1 Power state

+
+ +
+
+property rx0_lo#
+

rx0_lo: Carrier frequency of RX1 path

+
+ +
+
+property rx0_nco_frequency#
+

NCO correction frequency for RX1

+
+ +
+
+property rx0_port_en#
+

Control Port RF Enable mode for RX1. Options are: pin and spi

+
+ +
+
+property rx0_rf_bandwidth#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX1 path

+
+ +
+
+property rx0_sample_rate#
+

rx_sample_rate: Sample rate RX1 path in samples per second

+
+ +
+
+property rx1_en#
+

“Control RX2 Power state

+
+ +
+
+property rx1_lo#
+

rx1_lo: Carrier frequency of RX2 path

+
+ +
+
+property rx1_nco_frequency#
+

NCO correction frequency for RX2

+
+ +
+
+property rx1_port_en#
+

Control Port RF Enable mode for RX2. Options are: pin and spi

+
+ +
+
+property rx1_rf_bandwidth#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX2 path

+
+ +
+
+property rx1_sample_rate#
+

rx_sample_rate: Sample rate RX2 path in samples per second

+
+ +
+
+property rx_dma_mode#
+

rx_dma_mode: DMA configuration for RX path. Options are: +combined: RX1 and RX2 share the same rx method +split: RX1 and RX2 have separate rx methods rx1 and rx2. Typically +used when they are at different rates. In this case the standard rx +method has the same effect as the rx1 method.

+
+ +
+
+property rx_ensm_mode_chan0#
+

rx_ensm_mode_chan0: RX Enable State Machine State Channel 0. Options are: +calibrated, primed, rf_enabled

+
+ +
+
+property rx_ensm_mode_chan1#
+

rx_ensm_mode_chan1: RX Enable State Machine State Channel 1. Options are: +calibrated, primed, rf_enabled

+
+ +
+
+property rx_hardwaregain_chan0#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘spi’

+
+ +
+
+property rx_hardwaregain_chan1#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘spi’

+
+ +
+
+property stream#
+
+ +
+
+property tx0_en#
+

“Control TX1 Power state

+
+ +
+
+property tx0_lo#
+

tx1_lo: Carrier frequency of TX1 path

+
+ +
+
+property tx0_nco_frequency#
+

NCO correction frequency for TX1

+
+ +
+
+property tx0_port_en#
+

Control Port RF Enable mode for TX1. Options are: pin and spi

+
+ +
+
+property tx0_rf_bandwidth#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX1 path

+
+ +
+
+property tx0_sample_rate#
+

tx_sample_rate: Sample rate TX1 path in samples per second

+
+ +
+
+property tx1_en#
+

“Control TX2 Power state

+
+ +
+
+property tx1_lo#
+

tx1_lo: Carrier frequency of TX2 path

+
+ +
+
+property tx1_nco_frequency#
+

NCO correction frequency for TX2

+
+ +
+
+property tx1_port_en#
+

Control Port RF Enable mode for TX2. Options are: pin and spi

+
+ +
+
+property tx1_rf_bandwidth#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX2 path

+
+ +
+
+property tx1_sample_rate#
+

tx_sample_rate: Sample rate TX2 path in samples per second

+
+ +
+
+property tx_dma_mode#
+

tx_dma_mode: DMA configuration for TX path. Options are: +combined: TX1 and TX2 share the same tx method +split: TX1 and TX2 have separate tx methods tx1 and tx2. +Typically used when they are at different rates. In this case +the standard tx method has the same effect as the tx1 method.

+
+ +
+
+property tx_ensm_mode_chan0#
+

tx_ensm_mode_chan0: TX Enable State Machine State Channel 0. Options are: +calibrated, primed, rf_enabled

+
+ +
+
+property tx_ensm_mode_chan1#
+

tx_ensm_mode_chan1: TX Enable State Machine State Channel 1. Options are: +calibrated, primed, rf_enabled

+
+ +
+
+property tx_hardwaregain_chan0#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan1#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+write_profile(value)#
+

Load a new profile on the device +Stream related to profile should be loaded first. +Please see driver documentation about profile generation.

+
+ +
+
+write_stream(value)#
+

Load a new stream on the device +Stream becomes active once accompanying profile is loaded +Please see driver documentation about stream generation.

+
+ +
+
+write_stream_profile(stream, profile)#
+

Load a new profile and stream on the device

+
+ +
+ +
+
+adi.adrv9002.rx1(self)#
+

rx1: Receive data on channel 0 (Same as rx() method)

+
+ +
+
+adi.adrv9002.rx2(self)#
+

rx2: Receive data on channel 1

+
+ +
+
+adi.adrv9002.tx1(self, data)#
+

tx1: Transmit data on channel 0 (Same as tx() method)

+
+ +
+
+adi.adrv9002.tx2(self, data)#
+

tx2: Transmit data on channel 1

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrv9009.html b/main/devices/adi.adrv9009.html new file mode 100644 index 000000000..d6827a76e --- /dev/null +++ b/main/devices/adi.adrv9009.html @@ -0,0 +1,612 @@ + + + + + + + + adrv9009 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adrv9009#

+
+
+class adi.adrv9009.adrv9009(uri='', jesd_monitor=False, jesd=None)#
+

Bases: rx_tx, context_manager, sync_start

+

ADRV9009 Transceiver

+
+
parameters:
+
uri: type=string

URI of context with ADRV9009

+
+
jesd_monitor: type=boolean

Boolean flag to enable JESD monitoring. jesd input is +ignored otherwise.

+
+
jesd: type=adi.jesd

JESD object associated with ADRV9009

+
+
+
+
+
+
+property aux_obs_lo#
+

aux_obs_lo: Carrier frequency of ORx path

+
+ +
+
+property calibrate#
+

calibrate: Trigger Calibration

+
+ +
+
+property calibrate_rx_phase_correction_en#
+

calibrate_rx_phase_correction_en: Enable RX Phase Correction Calibration

+
+ +
+
+property calibrate_rx_qec_en#
+

calibrate_rx_qec_en: Enable RX QEC Calibration

+
+ +
+
+property calibrate_tx_qec_en#
+

calibrate_tx_qec_en: Enable TX QEC Calibration

+
+ +
+
+property ensm_mode#
+

ensm_mode: Enable State Machine State Allows real time control over +the current state of the device. Options are: radio_on, radio_off

+
+ +
+
+property frequency_hopping_mode#
+

frequency_hopping_mode: Set Frequency Hopping Mode

+
+ +
+
+property frequency_hopping_mode_en#
+

frequency_hopping_mode_en: Enable Frequency Hopping Mode

+
+ +
+
+property gain_control_mode_chan0#
+

gain_control_mode_chan0: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property gain_control_mode_chan1#
+

gain_control_mode_chan1: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property jesd204_fsm_ctrl#
+

jesd204_fsm_ctrl: jesd204-fsm control

+
+ +
+
+property jesd204_fsm_error#
+

jesd204_fsm_error: jesd204-fsm error

+
+ +
+
+property jesd204_fsm_paused#
+

jesd204_fsm_paused: jesd204-fsm paused

+
+ +
+
+property jesd204_fsm_resume#
+

jesd204_fsm_resume: jesd204-fsm resume

+
+ +
+
+property jesd204_fsm_state#
+

jesd204_fsm_state: jesd204-fsm state

+
+ +
+
+property obs_hardwaregain#
+

obs_hardwaregain: Gain applied to Obs/Sniffer receive path ORX1.

+
+ +
+
+property obs_powerdown_en#
+

obs_powerdown_en: Enables/disables the ORX signal paths +while in the ENSM radio_on state

+
+ +
+
+property obs_quadrature_tracking_en#
+

Enable Quadrature tracking calibration for ORX

+
+ +
+
+property obs_rf_port_select#
+

obs_rf_port_select: Observation path source for ORX. Options are:

+
    +
  • OBS_TX_LO -

  • +
  • OBS_AUX_LO -

  • +
+
+ +
+
+property orx_sample_rate#
+

orx_sample_rate: Sample rate ORX path in samples per second +This value will reflect the correct value when 8x decimator is enabled

+
+ +
+
+property profile#
+

Load profile file. Provide path to profile file to attribute

+
+ +
+
+property rx_hardwaregain_chan0#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan1#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_powerdown_en_chan0#
+

rx_powerdown_en_chan0: Enables/disables the RX1 signal paths +while in the ENSM radio_on state

+
+ +
+
+property rx_powerdown_en_chan1#
+

rx_powerdown_en_chan1: Enables/disables the RX2 signal paths +while in the ENSM radio_on state

+
+ +
+
+property rx_quadrature_tracking_en_chan0#
+

Enable Quadrature tracking calibration for RX1

+
+ +
+
+property rx_quadrature_tracking_en_chan1#
+

Enable Quadrature tracking calibration for RX2

+
+ +
+
+property rx_rf_bandwidth#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property rx_sample_rate#
+

rx_sample_rate: Sample rate RX path in samples per second

+
+ +
+
+property trx_lo#
+

trx_lo: Carrier frequency of TX and RX path

+
+ +
+
+property tx_hardwaregain_chan0#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan1#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+property tx_quadrature_tracking_en_chan0#
+

Enable Quadrature tracking calibration for TX1

+
+ +
+
+property tx_quadrature_tracking_en_chan1#
+

Enable Quadrature tracking calibration for TX2

+
+ +
+
+property tx_rf_bandwidth#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+
+property tx_sample_rate#
+

tx_sample_rate: Sample rate TX path in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrv9009_zu11eg.html b/main/devices/adi.adrv9009_zu11eg.html new file mode 100644 index 000000000..dd70aad6c --- /dev/null +++ b/main/devices/adi.adrv9009_zu11eg.html @@ -0,0 +1,477 @@ + + + + + + + + adrv9009_zu11eg — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adrv9009_zu11eg#

+
+
+class adi.adrv9009_zu11eg.adrv9009_zu11eg(uri='', jesd_monitor=False, jesd=None)#
+

Bases: adrv9009

+

ADRV9009-ZU11EG System-On-Module

+
+
parameters:
+
uri: type=string

URI of context with ADRV9009-ZU11EG

+
+
jesd_monitor: type=boolean

Boolean flag to enable JESD monitoring. jesd input is +ignored otherwise.

+
+
jesd: type=adi.jesd

JESD object associated with ADRV9009-ZU11EG

+
+
+
+
+
+
+property calibrate_chip_b#
+

calibrate_chip_b: Trigger Calibration

+
+ +
+
+property calibrate_rx_phase_correction_en_chip_b#
+

calibrate_rx_phase_correction_en: Enable RX Phase Correction Calibration

+
+ +
+
+property calibrate_rx_qec_en_chip_b#
+

calibrate_rx_qec_en_chip_b: Enable RX QEC Calibration

+
+ +
+
+property calibrate_tx_qec_en_chip_b#
+

calibrate_tx_qec_en_chip_b: Enable TX QEC Calibration

+
+ +
+
+property frequency_hopping_mode_chip_b#
+

frequency_hopping_mode_chip_b: Set Frequency Hopping Mode

+
+ +
+
+property frequency_hopping_mode_en_chip_b#
+

frequency_hopping_mode_en: Enable Frequency Hopping Mode

+
+ +
+
+property gain_control_mode_chan0_chip_b#
+

gain_control_mode_chan0_chip_b: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property gain_control_mode_chan1_chip_b#
+

gain_control_mode_chan1_chip_b: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+mcs_chips()#
+

mcs_chips: MCS Synchronize both transceivers

+
+ +
+
+property rx_hardwaregain_chan0_chip_b#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan1_chip_b#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_rf_bandwidth_chip_b#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property rx_sample_rate_chip_b#
+

rx_sample_rate: Sample rate RX path in samples per second

+
+ +
+
+property trx_lo_chip_b#
+

trx_lo: Carrier frequency of TX and RX path

+
+ +
+
+property tx_hardwaregain_chan0_chip_b#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan1_chip_b#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+property tx_rf_bandwidth_chip_b#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+
+property tx_sample_rate_chip_b#
+

tx_sample_rate: Sample rate TX path in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrv9009_zu11eg_fmcomms8.html b/main/devices/adi.adrv9009_zu11eg_fmcomms8.html new file mode 100644 index 000000000..f1b012088 --- /dev/null +++ b/main/devices/adi.adrv9009_zu11eg_fmcomms8.html @@ -0,0 +1,572 @@ + + + + + + + + adrv9009_zu11eg_fmcomms8 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adrv9009_zu11eg_fmcomms8#

+
+
+class adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8(uri='', jesd_monitor=False, jesd=None)#
+

Bases: adrv9009_zu11eg

+

ADRV9009-ZU11EG System-On-Module + FMCOMMS8

+
+
parameters:
+
uri: type=string

URI of context with ADRV9009-ZU11EG

+
+
jesd_monitor: type=boolean

Boolean flag to enable JESD monitoring. jesd input is +ignored otherwise.

+
+
jesd: type=adi.jesd

JESD object associated with ADRV9009-ZU11EG

+
+
+
+
+
+
+property calibrate_chip_c#
+

calibrate_chip_c: Trigger Calibration

+
+ +
+
+property calibrate_chip_d#
+

calibrate_chip_d: Trigger Calibration

+
+ +
+
+property calibrate_rx_phase_correction_en_chip_c#
+

calibrate_rx_phase_correction_en: Enable RX Phase Correction Calibration

+
+ +
+
+property calibrate_rx_phase_correction_en_chip_d#
+

calibrate_rx_phase_correction_en: Enable RX Phase Correction Calibration

+
+ +
+
+property calibrate_rx_qec_en_chip_c#
+

calibrate_rx_qec_en_chip_c: Enable RX QEC Calibration

+
+ +
+
+property calibrate_rx_qec_en_chip_d#
+

calibrate_rx_qec_en_chip_d: Enable RX QEC Calibration

+
+ +
+
+property calibrate_tx_qec_en_chip_c#
+

calibrate_tx_qec_en_chip_c: Enable TX QEC Calibration

+
+ +
+
+property calibrate_tx_qec_en_chip_d#
+

calibrate_tx_qec_en_chip_d: Enable TX QEC Calibration

+
+ +
+
+property gain_control_mode_chan0_chip_c#
+

gain_control_mode_chan0_chip_c: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property gain_control_mode_chan0_chip_d#
+

gain_control_mode_chan0_chip_d: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property gain_control_mode_chan1_chip_c#
+

gain_control_mode_chan1_chip_c: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+property gain_control_mode_chan1_chip_d#
+

gain_control_mode_chan1_chip_d: Mode of receive path AGC. Options are: +slow_attack, manual

+
+ +
+
+mcs_chips()#
+

mcs_chips: MCS Synchronize all four transceivers

+
+ +
+
+property rx_hardwaregain_chan0_chip_c#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan0_chip_d#
+

rx_hardwaregain: Gain applied to RX path channel 0. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan1_chip_c#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chan1_chip_d#
+

rx_hardwaregain: Gain applied to RX path channel 1. Only applicable when +gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_rf_bandwidth_chip_c#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property rx_rf_bandwidth_chip_d#
+

rx_rf_bandwidth: Bandwidth of front-end analog filter of RX path

+
+ +
+
+property rx_sample_rate_chip_c#
+

rx_sample_rate: Sample rate RX path in samples per second

+
+ +
+
+property rx_sample_rate_chip_d#
+

rx_sample_rate: Sample rate RX path in samples per second

+
+ +
+
+property trx_lo_chip_c#
+

trx_lo: Carrier frequency of TX and RX path

+
+ +
+
+property trx_lo_chip_d#
+

trx_lo: Carrier frequency of TX and RX path

+
+ +
+
+property tx_hardwaregain_chan0_chip_c#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan0_chip_d#
+

tx_hardwaregain: Attenuation applied to TX path channel 0

+
+ +
+
+property tx_hardwaregain_chan1_chip_c#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+property tx_hardwaregain_chan1_chip_d#
+

tx_hardwaregain: Attenuation applied to TX path channel 1

+
+ +
+
+property tx_rf_bandwidth_chip_c#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+
+property tx_rf_bandwidth_chip_d#
+

tx_rf_bandwidth: Bandwidth of front-end analog filter of TX path

+
+ +
+
+property tx_sample_rate_chip_c#
+

tx_sample_rate: Sample rate TX path in samples per second

+
+ +
+
+property tx_sample_rate_chip_d#
+

tx_sample_rate: Sample rate TX path in samples per second

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adrv9009_zu11eg_multi.html b/main/devices/adi.adrv9009_zu11eg_multi.html new file mode 100644 index 000000000..664700dca --- /dev/null +++ b/main/devices/adi.adrv9009_zu11eg_multi.html @@ -0,0 +1,470 @@ + + + + + + + + adrv9009_zu11eg_multi — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adrv9009_zu11eg_multi#

+
+
+class adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi(primary_uri='', secondary_uris=[], primary_jesd=None, secondary_jesds=[None], fmcomms8=False)#
+

Bases: object

+

ADRV9009-ZU11EG Multi-SOM Manager

+
+
parameters:
+
primary_uri: type=string

URI of primary ADRV9009-ZU11EG. Parent HMC7044 is connected +to this SOM

+
+
secondary_uris: type=list[string]

URI(s) of secondary ADRV9009-ZU11EG(s).

+
+
primary_jesd: type=adi.jesd

JESD object associated with primary ADRV9009-ZU11EG

+
+
secondary_jesds: type=list[adi.jesd]

JESD object(s) associated with secondary ADRV9009-ZU11EG(s)

+
+
fmcomms8: type=boolean

Boolean flag to idenify is FMComms8(s) are attached to SOMs

+
+
+
+
+
+
+hmc7044_cap_sel()#
+
+ +
+
+hmc7044_car_output_delay(chan, digital, analog_ps)#
+

hmc7044_car_output_delay:

+
+
parameters:
+
digital: type=int

Digital delay. Adjusts the phase of the divider signal +by up to 17 half cycles of the VCO.

+
+
analog_ps: type=int

Analog delay. Adjusts the delay of the divider signal in +increments of ~25 ps. Range is from 100ps to 700ps.

+
+
+
+
+
+ +
+
+hmc7044_ext_output_delay(chan, digital, analog_ps)#
+

hmc7044_ext_output_delay:

+
+
parameters:
+
digital: type=int

Digital delay. Adjusts the phase of the divider signal +by up to 17 half cycles of the VCO.

+
+
analog_ps: type=int

Analog delay. Adjusts the delay of the divider signal in +increments of ~25 ps. Range is from 100ps to 700ps.

+
+
+
+
+
+ +
+
+hmc7044_set_cap_sel(vals)#
+

hmc7044_set_cap_sel:

+
+
parameters:
+
vals: type=list

Forces certain Capacitor bank selections. +Typically the list returned form hmc7044_cap_sel

+
+
+
+
+
+ +
+
+reinitialize()#
+

reinitialize: reinitialize all transceivers

+
+ +
+
+rx()#
+

Receive data from multiple hardware buffers for each channel index in +rx_enabled_channels of each child object (primary,secondaries[indx]).

+
+
returns: type=numpy.array or list of numpy.array

An array or list of arrays when more than one receive channel +is enabled containing samples from a channel or set of channels. +Data will be complex when using a complex data device.

+
+
+
+ +
+
+property rx_buffer_size#
+

rx_buffer_size: Size of receive buffer in samples for each device

+
+ +
+
+secondaries: List[adrv9009_zu11eg] = []#
+
+ +
+
+set_trx_framer_a_loopback(enable)#
+

set_trx_framer_a_loopback: Set bist_framer_a_loopback

+
+ +
+
+set_trx_lo_frequency(freq)#
+

set_trx_lo_frequency:

+
+
parameters:
+
freq: type=int

Frequency in hertz to be applied to all LOs

+
+
+
+
+
+ +
+
+sysref_request()#
+

sysref_request: Sysref request for parent HMC7044

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adt7420.html b/main/devices/adi.adt7420.html new file mode 100644 index 000000000..e37a38886 --- /dev/null +++ b/main/devices/adi.adt7420.html @@ -0,0 +1,332 @@ + + + + + + + + adi.adt7420 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.adt7420 module#

+
+
+class adi.adt7420.adt7420(uri='')#
+

Bases: attribute, context_manager

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adxl313.html b/main/devices/adi.adxl313.html new file mode 100644 index 000000000..d8fbfd121 --- /dev/null +++ b/main/devices/adi.adxl313.html @@ -0,0 +1,333 @@ + + + + + + + + adxl313 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adxl313#

+
+
+class adi.adxl313.adxl313(uri='')#
+

Bases: rx, context_manager, attribute

+

ADXL313 3-axis accelerometer

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adxl345.html b/main/devices/adi.adxl345.html new file mode 100644 index 000000000..74f67219e --- /dev/null +++ b/main/devices/adi.adxl345.html @@ -0,0 +1,349 @@ + + + + + + + + adxl345 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+ +
+ + + + +
+
+
+
+ +
+

adxl345#

+
+
+class adi.adxl345.adxl345(uri='')#
+

Bases: rx, context_manager, attribute

+

ADXL345 3-axis accelerometer

+
+
+property sampling_frequency#
+

ADXL345 sampling frequency

+
+ +
+
+property sampling_frequency_available#
+

Provides all available sampling frequency settings for the ADXL345 channels

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adxl355.html b/main/devices/adi.adxl355.html new file mode 100644 index 000000000..c736c12a0 --- /dev/null +++ b/main/devices/adi.adxl355.html @@ -0,0 +1,349 @@ + + + + + + + + adxl355 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adxl355#

+
+
+class adi.adxl355.adxl355(uri='')#
+

Bases: rx, context_manager, attribute

+

ADXL355 3-axis accelerometer

+
+
+property current_timestamp_clock#
+

Current timestamp clock

+
+ +
+
+to_degrees(raw)#
+

Convert raw to degrees Celsius

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.adxrs290.html b/main/devices/adi.adxrs290.html new file mode 100644 index 000000000..1d97da26f --- /dev/null +++ b/main/devices/adi.adxrs290.html @@ -0,0 +1,363 @@ + + + + + + + + adxrs290 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adxrs290#

+
+
+class adi.adxrs290.adxrs290(uri='')#
+

Bases: rx, context_manager, attribute

+

ADI ADXRS290 Gyroscope

+
+
+property hpf_3db_frequency#
+

ADXRS290 high pass filter 3dB frequency

+
+ +
+
+property hpf_3db_frequency_available#
+

Provides all available high pass filter 3dB frequency settings for the ADXRS290 channels

+
+ +
+
+property lpf_3db_frequency#
+

ADXRS290 low pass filter 3dB frequency

+
+ +
+
+property lpf_3db_frequency_available#
+

Provides all available low pass filter 3dB frequency settings for the ADXRS290 channels

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0511.html b/main/devices/adi.cn0511.html new file mode 100644 index 000000000..901b7c599 --- /dev/null +++ b/main/devices/adi.cn0511.html @@ -0,0 +1,375 @@ + + + + + + + + adi.cn0511 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.cn0511 module#

+
+
+class adi.cn0511.cn0511(uri='')#
+

Bases: ad9166

+

CN0511 Raspberry Pi Hat Signal Generator

+
+
+property amp_enable#
+

amp_enable: Enable or Disable the CN0511 ad9166 amplifier

+
+ +
+
+property amplitude_cal#
+

amplitude_cal: CN0511 amplitude calibration

+
+
Options:

True: If you set this to true, the output is calibrated. +False: Nothing happens.

+
+
+
+ +
+
+property board_calibrated#
+

board_calibrated: 1 if board was calibrated in production, 0 if board was not calibrated in production

+
+ +
+
+property calibrated_output#
+

calibrated_output: [“desired_output_amplitude_in_dbm”, “desired_output_frequency_in_Hz”]]

+
+ +
+
+property trim_frequency_raw#
+

trim_frequency_raw: modify output frequency of cn0511 in small steps

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0532.html b/main/devices/adi.cn0532.html new file mode 100644 index 000000000..e99fc6e0f --- /dev/null +++ b/main/devices/adi.cn0532.html @@ -0,0 +1,341 @@ + + + + + + + + cn0532 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

cn0532#

+
+
+class adi.cn0532.cn0532(uri='')#
+

Bases: cn0540

+

CN0532: Custom board with ADXL1002 Low Noise, High Frequency +/-50g MEMS Accelerometer

+
+
+calibrate(**kwargs)#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0540.html b/main/devices/adi.cn0540.html new file mode 100644 index 000000000..b00c1f592 --- /dev/null +++ b/main/devices/adi.cn0540.html @@ -0,0 +1,415 @@ + + + + + + + + cn0540 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

cn0540#

+
+
+class adi.cn0540.cn0540(uri='')#
+

Bases: rx, context_manager

+

CN0540 CBM DAQ Board

+
+
+property fda_disable_status#
+

fda_disable_status: Amplifier disable status

+
+ +
+
+property fda_mode#
+

fda_mode: Amplifier mode. Options are low-power or full-power

+
+ +
+
+property input_voltage#
+

input_voltage: Input voltage in mV from ADC before shift voltage applied

+
+ +
+
+property monitor_powerup#
+

monitor_powerup: Shutdown pin is tied to active-low inputs

+
+ +
+
+property red_led_enable#
+

red_led_enable: Enable red LED on board

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate in samples per second. +Valid options are: +‘256000’,’128000’,’64000’,’32000’,’16000’,’8000’,’4000’,’2000’,’1000’

+
+ +
+
+property sensor_voltage#
+

sensor_voltage: Sensor voltage in mV read from ADC after biasing

+
+ +
+
+property shift_voltage#
+

shift_voltage: Shift voltage in mV from LTC2606 to bias sensor data

+
+ +
+
+property sw_cc#
+

sw_cc: Enable SW_CC. This will also illuminate the blue LED.

+
+ +
+
+property sw_ff_status#
+

sw_ff_status: Fault flag status

+
+ +
+ +
+
+adi.cn0540.reset_buffer(func)#
+

Wrapper for set calls which require the SPI engine. +Without disabling the buffer the DMA would block forever

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0554.html b/main/devices/adi.cn0554.html new file mode 100644 index 000000000..a30c02c32 --- /dev/null +++ b/main/devices/adi.cn0554.html @@ -0,0 +1,419 @@ + + + + + + + + cn0554 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

cn0554#

+
+
+class adi.cn0554.cn0554(uri='ip:analog.local')#
+

Bases: object

+

CN0554 Mixed Signal Raspberry Pi Hat

+
+
+adc_in_channels#
+

dac_out_channels: DAC channel names derived from onboard LTC2688.

+
+ +
+
+convert_to_volts(in_voltage, channel)#
+

Convert ADC data using scale factors based on header configuration

+
+ +
+
+dac_out_channels#
+

out_reference: DAC voltage reference in Volts. Valid values are 4.096 and 2.5.

+
+ +
+
+property in_channels#
+

Get number of ADC input channels

+
+ +
+
+property in_scale#
+

in_scale: unitless scale factor based on onboard resistor divider

+
+ +
+
+property out_channels#
+

Get number of DAC output channels

+
+ +
+
+property out_reference#
+

Get voltage reference used for DAC channels

+
+ +
+
+rx()#
+

Get data from enabled ADC channels

+
+ +
+
+property rx_buffer_size#
+

Get number of datapoints used during capture

+
+ +
+
+property rx_enabled_channels#
+

Get list of enabled input adc channels

+
+ +
+
+property rx_output_type#
+

Get value of CN0554’s rx output type (raw or SI)

+
+ +
+
+property sample_rate#
+

Get CN0554’s AD7124 sampling rate

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0556.html b/main/devices/adi.cn0556.html new file mode 100644 index 000000000..8076c6ba4 --- /dev/null +++ b/main/devices/adi.cn0556.html @@ -0,0 +1,543 @@ + + + + + + + + cn0556 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

cn0556#

+
+
+class adi.cn0556.cn0556(uri='ip:analog.local')#
+

Bases: cn0554

+

The CN0556 class inherits features from the CN0554 (providing full +control and monitoring of the input and output voltages and currents) +and the one_bit_adc_dac (sets the mode of the regulator to either Buck +or Boost and enables and disables the LT8228). These combined +functionalities are utilized for a Programmable High Current and +Voltage Source/Sink Power Supply.

+
+
parameters:
+
uri: type=string

URI of the platform

+
+
+
+
+
+
+property boost_input_current#
+

Read boost input current at V2 terminals. Convert ADC data using scale and offset factors based on resistor divider at IMON2 node.

+
+ +
+
+property boost_input_current_limit#
+

Compute for the target boost input current limit set +using DAC data and scale and offset factors based on resistor divider network at ISET2N node.

+

returns boost target input current limit and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property boost_input_undervoltage#
+

Compute for the boost input undervoltage set at V2 side +using DAC data and scale and offset factors based on resistor divider network at UV2 node.

+

returns boost input undervoltage and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property boost_input_voltage#
+

Read boost input voltage at V2 terminals. Convert ADC data using scale and offset factors based on resistor divider network.

+
+ +
+
+property boost_output_current#
+

Read boost output current at V1 terminals. Convert ADC data using scale and offset factors based on resistor divider at IMON1 node.

+
+ +
+
+property boost_output_current_limit#
+

Compute for the target boost output current limit set +using DAC data and scale and offset factors based on resistor divider network at ISET1N node.

+

returns boost target output current limit and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property boost_output_voltage#
+

Read boost output voltage at V1 terminals. Convert ADC data using scale and offset factors based on resistor divider network.

+
+ +
+
+property boost_target_output_voltage#
+

Compute for the target boost output voltage set at V1 side +using DAC data and scale and offset factors based on resistor divider network at FB1 node.

+

returns boost target output voltage and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property buck_input_current#
+

Read buck input current at V1 terminals. Convert ADC data using scale and offset factors based on resistor divider at IMON1 node.

+
+ +
+
+property buck_input_current_limit#
+

Compute for the target buck input current limit set +using DAC data and scale and offset factors based on resistor divider network at ISET1P node.

+

returns buck target input current limit and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property buck_input_undervoltage#
+

Compute for the buck input undervoltage set at V1 side +using DAC data and scale and offset factors based on resistor divider network at UV1 node.

+

returns buck input undervoltage and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property buck_input_voltage#
+

Read buck input voltage at V1 terminals. Convert ADC data using scale and offset factors based on a resistor divider network.

+
+ +
+
+property buck_output_current#
+

Read buck output current at V2 terminals. Convert ADC data using scale and offset factors based on resistor divider at IMON2 node.

+
+ +
+
+property buck_output_current_limit#
+

Compute for the target buck output current limit set +using DAC data and scale and offset factors based on resistor divider network at ISET2P node.

+

returns buck target output current limit and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property buck_output_voltage#
+

Read buck output voltage at V2 terminals. Convert ADC data using scale and offset factors based on resistor divider network.

+
+ +
+
+property buck_target_output_voltage#
+

Compute for the target buck output voltage set at V2 side +using DAC data and scale and offset factors based on resistor divider network at FB2 node.

+

returns buck target output voltage and DAC output voltage in volts (V) +return type: (float, float)

+
+ +
+
+property drxn#
+

drxn: operating mode of the board. Returns ‘1’ if in Buck Mode and ‘0’ if in Boost Mode

+
+ +
+
+property enable#
+

enable the LT8228 device when True. +When set to false, device is disabled, DAC outputs set to 0, and sets the DRXN to boost mode.

+
+ +
+
+property fault#
+

fault: checks if a fault is present. Returns True if a fault occurred.

+
+ +
+
+property intvcc_voltage#
+

Return voltage at INTVCC pin in volts (V)

+
+ +
+
+read_value(ctrl, ctrl_name, scale, offset)#
+

Convert ADC data using the scale factor and offset based on simplified formulas derived and scaling +factors derived using the resistor divider networks at the feedback nodes.

+
+ +
+
+property report#
+

Check if REPORT is triggered. Returns True if REPORT pin is HIGH.

+
+ +
+
+set_value(value, ctrl, ctrl_name, scale, offset, val_min, val_max, unit)#
+

Convert user input value to equivalent DAC output voltage to control the output voltage and current limits +using the scale factor and offset based on simplified formulas derived using the resistor +divider networks at the feedback nodes.

+
+ +
+
+property share_voltage#
+

Return voltage at SHARE pin in volts (V)

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0565.html b/main/devices/adi.cn0565.html new file mode 100644 index 000000000..3f6dbeecc --- /dev/null +++ b/main/devices/adi.cn0565.html @@ -0,0 +1,392 @@ + + + + + + + + cn0565 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

cn0565#

+
+
+class adi.cn0565.cn0565(uri='')#
+

Bases: ad5940, adg2128, context_manager

+

The CN0565 class inherits features from both the AD5940 (providing high +precision in impedance and electrochemical frontend) and the ADG2128 +(enabling arbitrary assignment of force and sense electrodes). ‘ +These combined functionalities are utilized for Electrical +Impedance Tomography.

+
+
parameters:
+
uri: type=string

URI of the platform

+
+
+
+
+
+
+property all_voltages#
+

all_voltages: type=np.array +Voltage readings from different electrode combinations

+
+ +
+
+property electrode_count#
+

electrode_count: Number of electrodes

+
+ +
+
+property electrode_count_available#
+

electrode_count_available: type=np.array +Supported Electrode Counts

+
+ +
+
+property force_distance#
+

force_distance: Number of electrodes between forcing electrodes. 1 means they are adjacent

+
+ +
+
+property sense_distance#
+

sense_distance: Number of electrodes between sensing electrodes. 1 means they are adjacent

+
+ +
+
+property switch_sequence#
+

switch_sequence: type=np.array +Sequence of combinations of forcing electrodes and sensing electrodes in the form of +f+, s+, s-, s+

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0566.html b/main/devices/adi.cn0566.html new file mode 100644 index 000000000..263a453b8 --- /dev/null +++ b/main/devices/adi.cn0566.html @@ -0,0 +1,640 @@ + + + + + + + + adi.cn0566 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.cn0566 module#

+
+
+class adi.cn0566.CN0566(uri=None, sdr=None, _chip_ids=['BEAM0', 'BEAM1'], _device_map=[[1], [2]], _element_map=[[1, 2, 3, 4, 5, 6, 7, 8]], _device_element_map={1: [7, 8, 5, 6], 2: [3, 4, 1, 2]}, verbose=False)#
+

Bases: adf4159, adar1000_array

+

CN0566 class inherits from adar1000_array and adf4159 and adds +operations for beamforming like default configuration, +calibration, set_beam_phase_diff, etc. +_gpios (as one-bit-adc-dac) are instantiated internally. +ad7291 temperature / voltage monitor instantiated internally. +CN0566.sdr property is an instance of a Pluto SDR with updated firmware, +and updated to 2t2r.

+
+
parameters:
+
uri: type=string

URI of Raspberry Pi attached to the phaser board

+
+
verbose: type=boolean

Print extra debug information

+
+
+
+
+
+
+SDR_init(SampleRate, TX_freq, RX_freq, Rx_gain, Tx_gain, buffer_size)#
+
+
Initialize Pluto rev C for operation with the phaser. This is a convenience

method that sets several default values, and provides a handle for a few +other CN0566 methods that need access (i.e. set_rx_hardwaregain())

+
+
+
+

parameters#

+
+
SampleRate: type=int

ADC/DAC sample rate.

+
+
TX_freq: type=float

Transmit frequency. lo-sdr.TX_freq is what shows up at the TX connector.

+
+
RX_freq: type=float

Receive frequency. lo-sdr.RX_freq is what shows up at RX outputs.

+
+
Rx_gain: type=float

Receive gain. Set indirectly via set_rx_hardwaregain()

+
+
Tx_gain: type=float

Transmit gain, controls TX output amplitude.

+
+
buffer_size: type=int

Receive buffer size

+
+
+
+
+ +
+
+c = 299792458#
+

speed of light in m/s

+
+ +
+
+ccal#
+

Gain compensation for the two RX channels in dB. Includes all errors, including the SDRs

+
+ +
+
+configure(device_mode='rx')#
+

Configure the device/beamformer properties like RAM bypass, Tr source etc.

+
+

Parameters#

+
+
device_mode: type=string

(“rx”, “tx”, “disabled”, default = “rx”)

+
+
+
+
+ +
+
+device_mode = 'rx'#
+

For future RX/TX operation. Set to RX.

+
+ +
+
+element_spacing = 0.015#
+

Element to element spacing of the antenna in meters

+
+ +
+
+gcal#
+

Per-element gain compensation, AFTER above channel compensation. Use to scale value sent to ADAR1000.

+
+ +
+
+property lo#
+

Get the VCO output frequency, accounting for the /4 ahead of the ADF4159 RFIN.

+
+ +
+
+load_channel_cal(filename='channel_cal_val.pkl')#
+

Load channel gain compensation values, if not calibrated set all to 0.

+
+

Parameters#

+
+
filename: string

Path/name of channel calibration file

+
+
+
+
+ +
+
+load_gain_cal(filename='gain_cal_val.pkl')#
+

Load gain calibrated value, if not calibrated set all channel gain to maximum.

+
+

Parameters#

+
+
filename: type=string

Provide path of gain calibration file

+
+
+
+
+ +
+
+load_phase_cal(filename='phase_cal_val.pkl')#
+

Load phase calibrated value, if not calibrated set all channel phase correction to 0.

+
+

Parameters#

+
+
filename: type=string

Provide path of phase calibration file

+
+
+
+
+ +
+
+num_elements = 8#
+

Number of antenna elements

+
+ +
+
+pcal#
+

Phase calibration array. Add this value to the desired phase. Initialize to zero (no correction).

+
+ +
+
+phase_step_size = 2.8125#
+

Phase adjustment resolution

+
+ +
+
+read_monitor(verbose=False)#
+

Read all voltage / temperature monitor channels.

+
+

Parameters#

+
+
verbose: type=bool

Print each channel’s information if true.

+
+
returns:

An array of all readings in SI units (deg. C, Volts)

+
+
+
+
+ +
+
+save_channel_cal(filename='channel_cal_val.pkl')#
+

Saves channel calibration file.

+
+ +
+
+save_gain_cal(filename='gain_cal_val.pkl')#
+

Saves gain calibration file.

+
+ +
+
+save_phase_cal(filename='phase_cal_val.pkl')#
+

Saves phase calibration file.

+
+ +
+
+set_all_gain(value=127, apply_cal=True)#
+

Set all channel gains to a single value

+
+

Parameters#

+
+
value: type=int

gain for all channels. Default value is 127 (maximum).

+
+
apply_cal: type=bool

Optionally apply gain calibration to all channels.

+
+
+
+
+ +
+
+set_beam_phase_diff(Ph_Diff)#
+

Set phase difference between the adjacent channels of devices

+
+

Parameters#

+
+
Ph-Diff: type=float

Ph_diff is the phase difference b/w the adjacent channels of devices

+
+
+
+
+

Notes#

+

A public method to sweep the phase value from -180 to 180 deg, calculate phase values of all the channel +and set them. If we want beam angle at fixed angle you can pass angle value at which you want center lobe

+

Create an empty list. Based on the device number and channel of that device append phase value to that empty +list this creates a list of 4 items. Now write channel of each device, phase values acc to created list +values. This is the structural integrity mentioned above.

+
+
+ +
+
+set_chan_gain(chan_no: int, gain_val, apply_cal=True)#
+

Setl gain of the individua channel/s.

+
+

Parameters#

+
+
chan_no: type=int

It is the index of channel whose gain you want to set

+
+
gain_val: type=int or hex

gain_val is the value of gain that you want to set

+
+
apply_cal: type=bool

Optionally apply gain calibration for the selected channel

+
+
+
+
+ +
+
+set_chan_phase(chan_no: int, phase_val, apply_cal=True)#
+

Setl phase of the individua channel/s.

+
+

Parameters#

+
+
chan_no: type=int

It is the index of channel whose gain you want to set

+
+
phase_val: float

phase_val is the value of phase that you want to set

+
+
apply_cal: type=bool

Optionally apply phase calibration

+
+
+
+
+

Notes#

+

Each device has 4 channels but for top level channel numbers are 1 to 8 so took device number as Quotient of +channel num div by 4 and channel of that dev is overall chan num minus 4 x that dev number. For e.g: +if you want to set gain of channel at index 5 it is 6th channel or 2nd channel of 2nd device so 5//4 = 1 +i.e. index of 2nd device and (5 - 4*(5//4) = 1 i.e. index of channel

+
+
+ +
+
+set_rx_hardwaregain(gain, apply_cal=True)#
+

Set Pluto channel gains

+
+

Parameters#

+
+
gain: type=float

Gain to set both channels to

+
+
apply_cal: type=bool

Optionally apply channel gain correction

+
+
+
+
+ +
+
+set_tx_sw_div(div_ratio)#
+

Set TX switch toggle divide ratio. Possible values are: +0 (direct TX_OUT control via gpio_tx_sw) +divide by 2, 4, 8, 16, 32, 64, 128

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0575.html b/main/devices/adi.cn0575.html new file mode 100644 index 000000000..a880a84df --- /dev/null +++ b/main/devices/adi.cn0575.html @@ -0,0 +1,351 @@ + + + + + + + + cn0575 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

cn0575#

+
+
+class adi.cn0575.cn0575(uri='')#
+

Bases: object

+

CN0575 class, exposing onboard temperature sensor, pushbutton, +and LED. Also reads the platform CPU’s temperature, which under +most operating conditions should be higher than the onboard sensor.

+
+
+property button#
+

Read button state.

+
+ +
+
+property led#
+

Read LED state.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.cn0579.html b/main/devices/adi.cn0579.html new file mode 100644 index 000000000..d2407839f --- /dev/null +++ b/main/devices/adi.cn0579.html @@ -0,0 +1,391 @@ + + + + + + + + cn0579 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

cn0579#

+
+
+class adi.cn0579.cn0579(uri='ip:analog.local')#
+

Bases: ad7768_4

+

CN0579 - Multichannel IEPE DAQ for CbM

+
+
+property CC_CH0#
+

Get Channel 0 Current Source Control

+
+ +
+
+property CC_CH1#
+

Get Channel 1 Current Source Control

+
+ +
+
+property CC_CH2#
+

Get Channel 2 Current Source Control

+
+ +
+
+property CC_CH3#
+

Get Channel 3 Current Source Control

+
+ +
+
+property shift_voltage0#
+

shift_voltage: Shift voltage in mV from AD5696 to bias sensor data

+
+ +
+
+property shift_voltage1#
+

shift_voltage: Shift voltage in mV from AD5696 to bias sensor data

+
+ +
+
+property shift_voltage2#
+

shift_voltage: Shift voltage in mV from AD5696 to bias sensor data

+
+ +
+
+property shift_voltage3#
+

shift_voltage: Shift voltage in mV from AD5696 to bias sensor data

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.daq2.html b/main/devices/adi.daq2.html new file mode 100644 index 000000000..ac640e802 --- /dev/null +++ b/main/devices/adi.daq2.html @@ -0,0 +1,333 @@ + + + + + + + + daq2 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

daq2#

+
+
+class adi.daq2.DAQ2(uri='')#
+

Bases: ad9144, ad9680

+

DAQ2 High-Speed Data Aquistion Device

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.daq3.html b/main/devices/adi.daq3.html new file mode 100644 index 000000000..d48a5a9f9 --- /dev/null +++ b/main/devices/adi.daq3.html @@ -0,0 +1,333 @@ + + + + + + + + daq3 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

daq3#

+
+
+class adi.daq3.DAQ3(uri='')#
+

Bases: ad9152, ad9680

+

DAQ3 High-Speed Data Aquistion Device

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmc_vna.html b/main/devices/adi.fmc_vna.html new file mode 100644 index 000000000..08eedfcaf --- /dev/null +++ b/main/devices/adi.fmc_vna.html @@ -0,0 +1,341 @@ + + + + + + + + fmc_vna — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

fmc_vna#

+
+
+class adi.fmc_vna.fmcvna(uri)#
+

Bases: adrf5720, ad9083, admv8818, genmux, adf5610, adl5960

+

FMCVNA Scalable 8-port Vector Network Analyzer Board

+
+
+frontend = [0, 0, 0, 0, 0, 0, 0, 0]#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmcadc3.html b/main/devices/adi.fmcadc3.html new file mode 100644 index 000000000..a22c29bc1 --- /dev/null +++ b/main/devices/adi.fmcadc3.html @@ -0,0 +1,333 @@ + + + + + + + + adi.fmcadc3 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.fmcadc3 module#

+
+
+class adi.fmcadc3.fmcadc3(uri='')#
+

Bases: ad9625, ada4961

+

FMCADC3 High-Speed Data Aquistion Device

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmcjesdadc1.html b/main/devices/adi.fmcjesdadc1.html new file mode 100644 index 000000000..7d00da66d --- /dev/null +++ b/main/devices/adi.fmcjesdadc1.html @@ -0,0 +1,357 @@ + + + + + + + + adi.fmcjesdadc1 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

adi.fmcjesdadc1 module#

+
+
+class adi.fmcjesdadc1.fmcjesdadc1(uri='', username='root', password='analog')#
+

Bases: ad9250

+

FMCJESDADC1 Four-Channel High Speed Data Acquisition FMC Board

+
+
+property jesd204_statuses#
+
+ +
+
+property test_mode_chan0#
+

test_mode_chan0: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+
+property test_mode_chan1#
+

test_mode_chan1: Select Test Mode. Options are: +off midscale_short pos_fullscale neg_fullscale checkerboard pn_long pn_short one_zero_toggle user ramp

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmclidar1.html b/main/devices/adi.fmclidar1.html new file mode 100644 index 000000000..95321a72d --- /dev/null +++ b/main/devices/adi.fmclidar1.html @@ -0,0 +1,413 @@ + + + + + + + + fmclidar1 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

fmclidar1#

+
+
+class adi.fmclidar1.fmclidar1(uri, pulse_capture_address='7c700000')#
+

Bases: ad5627, ad9094, phy

+

LiDAR

+
+
+property channel_sequencer_enable_disable#
+

Get the status of the channel sequencer (enable/disable).

+
+ +
+
+property channel_sequencer_opmode#
+

Get the channel sequencer operation mode.

+
+ +
+
+property channel_sequencer_order_auto_mode#
+

Set the channels order when in auto mode.

+
+ +
+
+property channel_sequencer_order_manual_mode#
+

Get the channels order when in manual mode.

+
+ +
+
+laser_disable()#
+

Disable the laser.

+
+ +
+
+laser_enable()#
+

Enable the laser.

+
+ +
+
+property laser_frequency#
+

Get the laser frequency.

+
+ +
+
+property laser_pulse_width#
+

Get the laser pulse width, in ns.

+
+ +
+
+rx()#
+

Read the buffers for all the enabled channels, except Channel4 which should +be all zeroes and not relevant for the user.

+
+ +
+
+property sequencer_pulse_delay#
+

Get the delay of the pulse sequencer, in nanoseconds

+
+ +
+
+set_all_iio_attrs_to_default_values()#
+

Set all the Lidar attributes to reasonable default values.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmcomms11.html b/main/devices/adi.fmcomms11.html new file mode 100644 index 000000000..c040e2eed --- /dev/null +++ b/main/devices/adi.fmcomms11.html @@ -0,0 +1,333 @@ + + + + + + + + adi.fmcomms11 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.fmcomms11 module#

+
+
+class adi.fmcomms11.FMComms11(uri='')#
+

Bases: ad9162, ad9625

+

FMCOMMS11 Transceiver

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.fmcomms5.html b/main/devices/adi.fmcomms5.html new file mode 100644 index 000000000..d78c50573 --- /dev/null +++ b/main/devices/adi.fmcomms5.html @@ -0,0 +1,434 @@ + + + + + + + + fmcomms5 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

fmcomms5#

+
+
+class adi.fmcomms5.FMComms5(uri='')#
+

Bases: ad9361

+

FMComms5 Dual Transceiver Evaluation Board

+
+
+property filter#
+

Load FIR filter file. Provide path to filter file to attribute

+
+ +
+
+property gain_control_mode_chip_b_chan0#
+

gain_control_mode_chip_b_chan0: Mode of receive path AGC of second transceiver. +Options are: slow_attack, fast_attack, manual

+
+ +
+
+property gain_control_mode_chip_b_chan1#
+

gain_control_mode_chip_b_chan1: Mode of receive path AGC of second transceiver. +Options are: slow_attack, fast_attack, manual

+
+ +
+
+property loopback_chip_b#
+

loopback_chip_b: Set loopback mode of second transceiver. Options are: +0 (Disable), 1 (Digital), 2 (RF)

+
+ +
+
+property rx_hardwaregain_chip_b_chan0#
+

rx_hardwaregain_chip_b_chan0: Gain applied to RX path of second transceiver. +Only applicable when gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_hardwaregain_chip_b_chan1#
+

rx_hardwaregain_chip_b_chan1: Gain applied to RX path of second transceiver. +Only applicable when gain_control_mode is set to ‘manual’

+
+ +
+
+property rx_lo_chip_b#
+

rx_lo_chip_b: Carrier frequency of RX path of second transceiver

+
+ +
+
+property rx_rf_bandwidth_chip_b#
+

rx_rf_bandwidth_chip_b: Bandwidth of front-end analog filter of RX path +of second transceiver

+
+ +
+
+property sample_rate#
+

sample_rate: Sample rate RX and TX paths in samples per second of +second transceiver

+
+ +
+
+property tx_hardwaregain_chip_b_chan0#
+

tx_hardwaregain_chip_b_chan0: Attenuation applied to TX path of second transceiver

+
+ +
+
+property tx_hardwaregain_chip_b_chan1#
+

tx_hardwaregain_chip_b_chan1: Attenuation applied to TX path of second transceiver

+
+ +
+
+property tx_lo_chip_b#
+

tx_lo_chip_b: Carrier frequency of TX path of second transceiver

+
+ +
+
+property tx_rf_bandwidth_chip_b#
+

tx_rf_bandwidth_chip_b: Bandwidth of front-end analog filter of TX path +of second transceiver

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.gen_mux.html b/main/devices/adi.gen_mux.html new file mode 100644 index 000000000..0f5c7fb79 --- /dev/null +++ b/main/devices/adi.gen_mux.html @@ -0,0 +1,357 @@ + + + + + + + + gen_mux — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

gen_mux#

+
+
+class adi.gen_mux.genmux(uri='', device_name='')#
+

Bases: attribute, context_manager

+

GEN-MUX Generic IIO Mux device +Control MUX devices via IIO device attributes

+
+
parameters:
+
uri: type=string

URI of IIO context with GEN-MUX

+
+
+
+
+
+
+property select#
+

Get/Set the MUX select

+
+ +
+
+property select_available#
+

Get available MUX options

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.jesd.html b/main/devices/adi.jesd.html new file mode 100644 index 000000000..4931237e0 --- /dev/null +++ b/main/devices/adi.jesd.html @@ -0,0 +1,324 @@ + + + + + + + + jesd — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

jesd#

+

JESD Shim import to handle JESD as optional dependency

+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.lm75.html b/main/devices/adi.lm75.html new file mode 100644 index 000000000..26ada8a20 --- /dev/null +++ b/main/devices/adi.lm75.html @@ -0,0 +1,388 @@ + + + + + + + + lm75 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

lm75#

+
+
+class adi.lm75.lm75(uri='', device_index=0)#
+

Bases: context_manager, attribute

+

LM75 Temperature Sensor

+
+

Parameters#

+
+
uri: type=string

Context URI. Default: Empty (auto-scan)

+
+
device_index: type=integer

Device index in contexts with multiple LM75 compatible devices. Default: 0

+
+
returns:

LM75 compatible device

+
+
+
+
+property input#
+

LM75 temperature input value

+
+ +
+
+property max#
+

LM75 temperature max value

+
+ +
+
+property max_hyst#
+

LM75 max_hyst value

+
+ +
+
+to_degrees(value)#
+

Convert raw to degrees Celsius

+
+ +
+
+to_millidegrees(value)#
+

Convert degrees Celsius to millidegrees

+
+ +
+
+property update_interval#
+

Update Interval

+
+ +
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2314_14.html b/main/devices/adi.ltc2314_14.html new file mode 100644 index 000000000..156e716a1 --- /dev/null +++ b/main/devices/adi.ltc2314_14.html @@ -0,0 +1,356 @@ + + + + + + + + ltc2314_14 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ltc2314_14#

+
+
+class adi.ltc2314_14.ltc2314_14(uri='')#
+

Bases: attribute, context_manager

+

LTC2314-14 14-Bit, 4.5Msps Serial Sampling ADC

+
+
parameters:
+
uri: type=string

URI of IIO context with LTC2314-14

+
+
+
+
+
+
+property lsb_mv#
+

Get the LSB in millivolts

+
+ +
+
+property voltage#
+

Get the voltage reading from the ADC

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2387.html b/main/devices/adi.ltc2387.html new file mode 100644 index 000000000..d434c2961 --- /dev/null +++ b/main/devices/adi.ltc2387.html @@ -0,0 +1,350 @@ + + + + + + + + ltc2387 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ltc2387#

+
+
+class adi.ltc2387.ltc2387(uri='', device_index=0)#
+

Bases: rx, context_manager

+

LTC2387 family devices

+
+
+property sampling_frequency#
+

sample_rate: Sample rate in samples per second. +Valid options are: +Device’s maximum sample rate (15000000 in the case of the LTC2387-18) and lower. +Actual sample rates will be the master clock divided by an integer, for example, +the CN0577 has a 120 MHz clock, so available sample rates will be: +120 MHz / 8 = 15 Msps +120 MHz / 9 = 13.333 Msps +120 MHz / 10 = 12 Msps +etc.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2499.html b/main/devices/adi.ltc2499.html new file mode 100644 index 000000000..dd7589aab --- /dev/null +++ b/main/devices/adi.ltc2499.html @@ -0,0 +1,340 @@ + + + + + + + + adi.ltc2499 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.ltc2499 module#

+
+
+class adi.ltc2499.ltc2499(uri='')#
+

Bases: rx, context_manager

+
+
+channel: OrderedDict = None#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2664.html b/main/devices/adi.ltc2664.html new file mode 100644 index 000000000..046ab908a --- /dev/null +++ b/main/devices/adi.ltc2664.html @@ -0,0 +1,341 @@ + + + + + + + + ltc2664 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ltc2664#

+
+
+class adi.ltc2664.ltc2664(uri='ip:analog.local', device_index=0)#
+

Bases: context_manager, attribute

+

LTC2664 DAC

+
+
+channel_names = []#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2672.html b/main/devices/adi.ltc2672.html new file mode 100644 index 000000000..9cfc61a99 --- /dev/null +++ b/main/devices/adi.ltc2672.html @@ -0,0 +1,405 @@ + + + + + + + + ltc2672 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

ltc2672#

+
+
+class adi.ltc2672.ltc2672(uri='', device_name='')#
+

Bases: context_manager, attribute

+

LTC2672 DAC

+
+
+property all_chns_current#
+

Get current value in mA

+
+ +
+
+property all_chns_powerdown#
+

Get powerdown value

+
+ +
+
+property all_chns_powerdown_avail#
+

Get powerdown options

+
+ +
+
+property all_chns_raw#
+

Get raw value

+
+ +
+
+property all_chns_span#
+

Get all channels span in mA

+
+ +
+
+property all_chns_span_avail#
+

Get list of span options in mA

+
+ +
+
+property fault_detect#
+

Get fault condition if any

+
+ +
+
+property fault_detect_avail#
+

Get fault detect options

+
+ +
+
+property mux#
+

Get mux setting value

+
+ +
+
+property mux_avail#
+

Get mux setting options

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2688.html b/main/devices/adi.ltc2688.html new file mode 100644 index 000000000..dfe121bb5 --- /dev/null +++ b/main/devices/adi.ltc2688.html @@ -0,0 +1,347 @@ + + + + + + + + ltc2688 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ltc2688#

+
+
+class adi.ltc2688.ltc2688(uri='ip:analog.local', device_index=0)#
+

Bases: context_manager, attribute

+

LTC2688 DAC

+
+
+channel_names = []#
+
+ +
+
+vref = 4.096#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.ltc2983.html b/main/devices/adi.ltc2983.html new file mode 100644 index 000000000..7a894b964 --- /dev/null +++ b/main/devices/adi.ltc2983.html @@ -0,0 +1,348 @@ + + + + + + + + ltc2983 — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

ltc2983#

+
+
+class adi.ltc2983.ltc2983(uri='')#
+

Bases: rx, context_manager

+

LTC2983 Multi-Sensor Temperature Measurement System

+
+
+channel: OrderedDict = None#
+
+ +
+
+convert(channel_name, val)#
+

Convert raw value(s) to real units

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.max11205.html b/main/devices/adi.max11205.html new file mode 100644 index 000000000..db2531de0 --- /dev/null +++ b/main/devices/adi.max11205.html @@ -0,0 +1,348 @@ + + + + + + + + adi.max11205 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.max11205 module#

+
+
+class adi.max11205.max11205(uri='', device_name='')#
+

Bases: rx, context_manager

+

MAX11205 ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.max14001.html b/main/devices/adi.max14001.html new file mode 100644 index 000000000..4bdb40825 --- /dev/null +++ b/main/devices/adi.max14001.html @@ -0,0 +1,348 @@ + + + + + + + + adi.max14001 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.max14001 module#

+
+
+class adi.max14001.max14001(uri='', device_name='')#
+

Bases: rx, context_manager

+

MAX14001 ADC

+
+
+channel = []#
+
+ +
+
+to_volts(index, val)#
+

Converts raw value to SI.

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.max31855.html b/main/devices/adi.max31855.html new file mode 100644 index 000000000..3c0870329 --- /dev/null +++ b/main/devices/adi.max31855.html @@ -0,0 +1,333 @@ + + + + + + + + adi.max31855 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.max31855 module#

+
+
+class adi.max31855.max31855(uri='')#
+

Bases: rx, context_manager, attribute

+

MAX31855 thermocouple device

+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.max31865.html b/main/devices/adi.max31865.html new file mode 100644 index 000000000..d68f795e8 --- /dev/null +++ b/main/devices/adi.max31865.html @@ -0,0 +1,349 @@ + + + + + + + + adi.max31865 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.max31865 module#

+
+
+class adi.max31865.max31865(uri='')#
+

Bases: rx, context_manager, attribute

+

MAX31865 RTD to Digital device

+
+
+property fault#
+

MAX31865 Over/Under Voltage Flag

+
+ +
+
+property samp_available#
+

MAX31865 Sampling frequency

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.max9611.html b/main/devices/adi.max9611.html new file mode 100644 index 000000000..3d4d6be96 --- /dev/null +++ b/main/devices/adi.max9611.html @@ -0,0 +1,341 @@ + + + + + + + + adi.max9611 module — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

adi.max9611 module#

+
+
+class adi.max9611.max9611(uri='', device_name='')#
+

Bases: rx, context_manager

+

AD611 Current-sense Amplifier with ADC

+
+
+channel = []#
+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.one_bit_adc_dac.html b/main/devices/adi.one_bit_adc_dac.html new file mode 100644 index 000000000..1d4c1dd56 --- /dev/null +++ b/main/devices/adi.one_bit_adc_dac.html @@ -0,0 +1,346 @@ + + + + + + + + one_bit_adc_dac — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

one_bit_adc_dac#

+
+
+class adi.one_bit_adc_dac.one_bit_adc_dac(uri='', name='one-bit-adc-dac')#
+

Bases: attribute, context_manager

+
+
One bit ADC/DAC (GPIO). This driver will create a handle for the

GPIO device as well as properties for each GPIO pin it accesses. +Each GPIO pin name will be lowercase and of the format: +“gpio_{pin name}”

+
+
parameters:
+
uri: type=string

URI of IIO context with GPIO pins

+
+
name: type=string

String identifying the device by name from the device tree. +Dynamic class properties will be created for each channel.

+
+
+
+
+
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.tdd.html b/main/devices/adi.tdd.html new file mode 100644 index 000000000..41179e75b --- /dev/null +++ b/main/devices/adi.tdd.html @@ -0,0 +1,489 @@ + + + + + + + + tdd — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

tdd#

+
+
+class adi.tdd.tdd(uri='')#
+

Bases: context_manager, attribute

+

TDD Controller

+
+
+property burst_count: int#
+

burst_count: Amount of frames to produce. +Should be 0 <= burst_count <= 255, where 0 means don’t stop

+
+ +
+
+property counter_int: int#
+

counter_init: Internal counter start value

+
+ +
+
+property dma_gateing_mode: str#
+

dma_gateing_mode: Which of the two DMA (dp) ports should be gated

+
+ +
+
+property en: bool#
+

en: Enable or disable the TDD engine

+
+ +
+
+property en_mode: str#
+

en_mode: In which mode the TDD engine should run

+
+ +
+
+property frame_length_ms: float#
+

frame_length_ms: TDD frame length in ms

+
+ +
+
+property frame_length_raw: float#
+

frame_length_raw: TDD frame length in cycles of the input clock

+
+ +
+
+property rx_dma_ms: List[int]#
+

rx_dma_ms: RX DMA port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property rx_dma_raw: List[int]#
+

rx_dma_raw: RX DMA port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property rx_rf_ms: List[int]#
+

rx_rf_ms: RX RF port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property rx_rf_raw: List[int]#
+

rx_rf_raw: RX RF port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property rx_vco_ms: List[int]#
+

rx_vco_ms: RX VCO port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property rx_vco_raw: List[int]#
+

rx_vco_raw: RX VCO port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property secondary: bool#
+

secondary: Enable secondary times. This allows one signal to go high +twice at two times within a single frame

+
+ +
+
+property tx_dma_ms: List[int]#
+

tx_dma_ms: TX DMA port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property tx_dma_raw: List[int]#
+

tx_dma_raw: TX DMA port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property tx_rf_ms: List[int]#
+

tx_rf_ms: TX RF port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property tx_rf_raw: List[int]#
+

tx_rf_raw: TX RF port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property tx_vco_ms: List[int]#
+

tx_vco_ms: TX VCO port timing parameters in ms. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+
+property tx_vco_raw: List[int]#
+

tx_vco_raw: TX VCO port timing parameters in clock cycles. +List of four values: [primary_on, primary_off, secondary_on, secondary_off]

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/adi.tddn.html b/main/devices/adi.tddn.html new file mode 100644 index 000000000..c9f2a42d0 --- /dev/null +++ b/main/devices/adi.tddn.html @@ -0,0 +1,431 @@ + + + + + + + + tddn — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

tddn#

+
+
+class adi.tddn.tddn(uri='')#
+

Bases: context_manager, attribute

+

TDDN Controller

+
+
+property burst_count: int#
+

burst_count: Amount of frames to produce, where 0 means repeat indefinitely

+
+ +
+
+channel = []#
+
+ +
+
+property enable: bool#
+

enable: Enable or disable the TDD engine

+
+ +
+
+property frame_length_ms: float#
+

frame_length_ms: TDD frame length (ms)

+
+ +
+
+property frame_length_raw: float#
+

frame_length_raw: TDD frame length (clock cycles)

+
+ +
+
+property internal_sync_period_ms: float#
+

internal_sync_period_ms: Period of the internal sync generator (ms)

+
+ +
+
+property internal_sync_period_raw: float#
+

internal_sync_period_raw: Period of the internal sync generator (clock cycles)

+
+ +
+
+property startup_delay_ms: float#
+

startup_delay_ms: Initial delay before the first frame (ms)

+
+ +
+
+property startup_delay_raw: float#
+

startup_delay_raw: Initial delay before the first frame (clock cycles)

+
+ +
+
+property state: int#
+

state: The current state of the internal FSM

+
+ +
+
+property sync_external: bool#
+

sync_external: Enable the external sync trigger

+
+ +
+
+property sync_internal: bool#
+

sync_internal: Enable the internal sync trigger

+
+ +
+
+property sync_reset: bool#
+

sync_reset: Reset the internal counter when receiving a sync event

+
+ +
+
+property sync_soft: bool#
+

sync_soft: Trigger one software sync pulse

+
+ +
+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/devices/index.html b/main/devices/index.html new file mode 100644 index 000000000..138e563c9 --- /dev/null +++ b/main/devices/index.html @@ -0,0 +1,840 @@ + + + + + + + + Supported Devices — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Supported Devices#

+
+ +
+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/fpga/index.html b/main/fpga/index.html new file mode 100644 index 000000000..fc4df9265 --- /dev/null +++ b/main/fpga/index.html @@ -0,0 +1,562 @@ + + + + + + + + FPGA Features — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

FPGA Features#

+
+

Direct Digital Synthesizers#

+

For FPGA based systems ADI reference designs include direct digital synthesizers (DDS) which can generate tones with arbitrary phase, frequency, and amplitude. For each individual DAC channel there are two DDSs which can have a unique phase, frequency, and phase. To configure the DDSs there are a number of methods and properties available depending on the complexity of the configuration.

+

For the most basic or easiest configuration options use the methods dds_single_tone and dds_dual_tone which generate a one tone or two tones respectively on a specific channel.

+
import adi
+
+sdr = adi.ad9361()
+# Generate a single complex tone
+dds_freq_hz = 10000
+dds_scale = 0.9
+# Enable all DDSs
+sdr.dds_single_tone(dds_freq_hz, dds_scale)
+
+
+

To configure DDSs individually a list of scales can be passed to the properties dds_scales, dds_frequencies, and dds_phases.

+
import adi
+
+sdr = adi.ad9361()
+n = len(sdr.dds_scales)
+# Enable all DDSs
+sdr.dds_enabled = [True] * n
+# Set all DDSs to same frequency, scale, and phase
+dds_freq_hz = 10000
+sdr.dds_phases = [0] * n
+sdr.dds_frequencies = [dds_freq_hz] * n
+sdr.dds_scales = [0.9] * n
+
+
+
+
+

DDS Methods#

+
+
+class adi.dds.dds#
+

DDS Signal generators: Each reference design contains two DDSs per channel. +this allows for two complex tones to be generated per complex channel.

+
+
+dds_dual_tone(frequency1, scale1, frequency2, scale2, channel=0)#
+

Generate two tones simultaneously using the DDSs +For complex data devices this will create two complex +or single sided tones spectrally using four DDSs. +For non-complex devices the tone will use two DDSs.

+
+
parameters:
+
frequency1: type=integer

Frequency of first tone in hertz of the generated tone. +This must be less than 1/2 the sample rate.

+
+
scale1: type=float

Scale of the first tone generated tone in range [0,1]. +At 1 the tone will be full-scale.

+
+
frequency2: type=integer

Frequency of second tone in hertz of the generated tone. +This must be less than 1/2 the sample rate.

+
+
scale2: type=float

Scale of the second tone generated tone in range [0,1]. +At 1 the tone will be full-scale.

+
+
channel: type=integer

Channel index to generate tone from. This is zero based +and for complex devices this index relates to the pair +of related converters. For non-complex devices this is +the index of the individual converters.

+
+
+
+
+
+ +
+
+property dds_enabled#
+

DDS generator enable state

+
+ +
+
+property dds_frequencies#
+

Frequencies of DDSs in Hz

+
+ +
+
+property dds_phases#
+

Phases of DDS signal generators. +Range in millidegrees [0,360000]

+
+ +
+
+property dds_scales#
+

Scale of DDS signal generators +Ranges [0,1]

+
+ +
+
+dds_single_tone(frequency, scale, channel=0)#
+

Generate a single tone using the DDSs +For complex data devices this will create a complex +or single sided tone spectrally using two DDSs. +For non-complex devices the tone will use a single DDS.

+
+
parameters:
+
frequency: type=integer

Frequency in hertz of the generated tone. This must be +less than 1/2 the sample rate.

+
+
scale: type=float

Scale of the generated tone in range [0,1]. At 1 the tone +will be full-scale.

+
+
channel: type=integer

Channel index to generate tone from. This is zero based +and for complex devices this index relates to the pair +of related converters. For non-complex devices this is +the index of the individual converters.

+
+
+
+
+
+ +
+
+disable_dds()#
+

Disable all DDS channels and set all output sources to zero.

+
+ +
+ +
+
+

DMA Synchronization#

+

In certain HDL reference designs it is possible to synchronize transfers between the transmit and receive data paths. This is useful for applications such as radar processing, communications, instrumentation, and general testing.

+

This works by leveraging special control signals inside the HDL design to trigger receive captures from transmitted buffers. These are controlled through the sync_start class, which provide explicit control over when data is transmitted or released from the DMA in the FPGA fabric. This transmit or trigger will in turn allow data into the receive DMA at this moment in time. The exact methods and their sequence are described in the flowchart below.

+
+ flowchart LR + A[ARM DMA\n-set rx_sync_start to arm\n-set tx_sync_start to arm] --> B[Reset Buffers\n-tx_destroy_buffer\n-rx_destroy_buffer] + B --> C[Initialize RX buffer\n-_rx_init_channel] + C --> D[Fill TX DMA\n-Call tx method] + D --> E[Trigger TX DMA\n-set tx_sync_start to trigger_manual] + E --> F[Collect RX Buffer\n-Call rx method] + F --> A + +

A full example that leverages this control is ad9081_sync_start_example.py.

+
+
+

Sync_Start Methods#

+
+
+class adi.sync_start.sync_start#
+

Synchronization Control: This class allows for synchronous transfers +between transmit and receive data movement or captures.

+
+
+property rx_sync_start#
+

rx_sync_start: Issue a synchronisation request

+
+
Possible values are:
    +
  • arm: Writing this key will arm the trigger mechanism sensitive to an +external sync signal. Once the external sync signal goes high +it synchronizes channels within a ADC, and across multiple +instances. This bit has an effect only the EXT_SYNC +synthesis parameter is set.

  • +
  • disarm: Writing this key will disarm the trigger mechanism +sensitive to an external sync signal. This bit has an +effect only the EXT_SYNC synthesis parameter is set.

  • +
  • trigger_manual: Writing this key will issue an external sync event +if it is hooked up inside the fabric. This key has an effect +only the EXT_SYNC synthesis parameter is set. +This key self clears.

  • +
+
+
+
+ +
+
+property rx_sync_start_available#
+

rx_sync_start_available: Returns a list of possible keys used for rx_sync_start

+
+ +
+
+property tx_sync_start#
+

tx_sync_start: Issue a synchronisation request

+
+
Possible values are:
    +
  • arm: Writing this key will arm the trigger mechanism sensitive to an +external sync signal. Once the external sync signal goes high +it synchronizes channels within a DAC, and across multiple +instances. This bit has an effect only the EXT_SYNC +synthesis parameter is set.

  • +
  • disarm: Writing this key will disarm the trigger mechanism +sensitive to an external sync signal. This bit has an +effect only the EXT_SYNC synthesis parameter is set.

  • +
  • trigger_manual: Writing this key will issue an external sync event +if it is hooked up inside the fabric. This key has an effect +only the EXT_SYNC synthesis parameter is set. +This key self clears.

  • +
+
+
+
+ +
+
+property tx_sync_start_available#
+

tx_sync_start_available: Returns a list of possible keys used for tx_sync_start

+
+ +
+ +
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/genindex.html b/main/genindex.html new file mode 100644 index 000000000..c87246e5d --- /dev/null +++ b/main/genindex.html @@ -0,0 +1,5436 @@ + + + + + + + Index — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ + +

Index

+ +
+ A + | B + | C + | D + | E + | F + | G + | H + | I + | J + | L + | M + | N + | O + | P + | Q + | R + | S + | T + | U + | V + | W + | Y + +
+

A

+ + + +
+ +

B

+ + + +
+ +

C

+ + + +
+ +

D

+ + + +
+ +

E

+ + + +
+ +

F

+ + + +
+ +

G

+ + + +
+ +

H

+ + + +
+ +

I

+ + + +
+ +

J

+ + + +
+ +

L

+ + + +
+ +

M

+ + + +
+ +

N

+ + + +
+ +

O

+ + + +
+ +

P

+ + + +
+ +

Q

+ + + +
+ +

R

+ + + +
+ +

S

+ + + +
+ +

T

+ + + +
+ +

U

+ + +
+ +

V

+ + + +
+ +

W

+ + + +
+ +

Y

+ + +
+ + + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/guides/connectivity.html b/main/guides/connectivity.html new file mode 100644 index 000000000..e1b6d73c4 --- /dev/null +++ b/main/guides/connectivity.html @@ -0,0 +1,354 @@ + + + + + + + + Connectivity — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Connectivity#

+

Since pyadi-iio is built on top of libiio, it can use the different backends which allow device control and data transfer to and from devices remotely. These backends include serial, Ethernet, PCIe, USB, and of course locally connected devices can be controlled through the local backend. Connecting to a board remotely over a specific backend is done by defining a specific universal resource indicator (URI) and passing it to the class constructors for a specific device. Here is a simple example that uses the Ethernet backend with a target board with IP address 192.168.2.1:

+
# Import the library
+import adi
+
+# Create a device interface
+sdr = adi.ad9361(uri="ip:192.168.2.1")
+# Read back properties from hardware
+print(sdr.rx_hardwaregain0)
+
+
+

Devices that are connected over USB or are on a system with IIO devices like a ZC706 or Zedboard, should be able to automatically connect without defining a URI like:

+
# Import the library
+import adi
+
+# Create a device interface
+sdr = adi.Pluto()
+# Read back properties from hardware
+print(sdr.tx_rf_bandwidth)
+
+
+

Whoever if you have multiple USB device connected an want to pick one specifically, the set the USB URI similar to IP:

+
# Import the library
+import adi
+
+# Create a device interface
+sdr = adi.Pluto(uri="usb:1.24.5")
+# Read back properties from hardware
+print(sdr.tx_rf_bandwidth)
+
+
+

If you are not sure of the device URI you can utilize libiio command-line tools like iio_info and iio_attr.

+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/guides/examples.html b/main/guides/examples.html new file mode 100644 index 000000000..25d3a2ff7 --- /dev/null +++ b/main/guides/examples.html @@ -0,0 +1,381 @@ + + + + + + + + Examples — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Examples#

+

Here is a collection of small examples which demonstrate how to interface with different devices in different ways.

+

Configuring hardware properties and reading back settings

+
# Import the library
+import adi
+
+# Create a device interface
+sdr = adi.ad9361()
+# Configure properties
+sdr.rx_rf_bandwidth = 4000000
+sdr.rx_lo = 2000000000
+sdr.tx_lo = 2000000000
+sdr.tx_cyclic_buffer = True
+sdr.tx_hardwaregain = -30
+sdr.gain_control_mode = "slow_attack"
+# Read back properties from hardware
+print(sdr.rx_hardwaregain)
+
+
+

Send data to a device and receiving data from a device

+
import adi
+import numpy as np
+
+sdr = adi.ad9361()
+data = np.arange(1, 10, 3)
+# Send
+sdr.tx(data)
+# Receive
+data_rx = sdr.rx()
+
+
+

Configure the DDS of a transmit capable FPGA based device

+
import adi
+
+sdr = adi.DAQ2()
+# Configure DDS
+tone_freq_hz = 1000  # In Hz
+tone_scale = 0.9  # Range: 0-1.0
+tx_channel = 1  # Starts at 0
+sdr.dds_single_tone(tone_freq_hz, tone_scale, tx_channel)
+
+
+

Using URIs to access specific devices over the network

+
import adi
+
+# Create device from specific uri address
+sdr = adi.ad9361(uri="ip:192.168.2.1")
+data = sdr.rx()
+
+
+

Using URIs to access specific devices over USB

+
import adi
+
+# Create device from specific uri address
+sdr = adi.Pluto(uri="usb:1.24.5")
+data = sdr.rx()
+
+
+

Other complex examples are available in the source repository

+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/guides/quick.html b/main/guides/quick.html new file mode 100644 index 000000000..0bb134adb --- /dev/null +++ b/main/guides/quick.html @@ -0,0 +1,412 @@ + + + + + + + + Quick Start — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Quick Start#

+

Before installing pyadi-iio make sure you have libiio and its python bindings installed. Since libiio v0.21, the libiio python bindings have been available on pypi and conda. The conda package includes the built library but the pypi install will require that it is installed beforehand. If you install pyadi-iio directly from pypi or conda they will automatically install the python bindings for libiio (pylibiio).

+
+

Note

+

libiio (the C library) does not currently have a pip installer, but releases are available on GitHub along with the source. +For releases v0.19+ of libiio, when building from source the -DPYTHON_BINDINGS=ON flag is required

+
+

pyadi-iio can by installed from pip

+
(sudo) pip install pyadi-iio
+
+
+

or by grabbing the source directly for a more up to date version

+
git clone https://github.com/analogdevicesinc/pyadi-iio.git
+cd pyadi-iio
+(sudo) pip install .
+
+
+

To install the optional dependencies for JESD debugging and control

+
(sudo) pip install pyadi-iio[jesd]
+
+
+

Note that this is only needed for the ADRV9009-ZU11EG multi-SOM configuration.

+
+

Note

+

On Linux the libiio python bindings are sometimes installed in locations not on path when building from source. On Ubuntu this is a common fix

+
export PYTHONPATH=$PYTHONPATH:/usr/lib/python{PYTHON VERSION}/site-packages
+
+
+
+
+

Using Virtual Environments#

+

It is recommended to use virtual environments when installing pyadi-iio. This will prevent any conflicts with other python packages that may be installed on your system. Newer versions of such Linux distributions, like Debian, do not allow the installation of global packages either. Therefore, if a package is not within their package managers you must your virtual environments. To create a virtual environment run:

+
python3 -m venv /path/to/new/virtual/environment
+
+
+

To activate the virtual environment run:

+
source /path/to/new/virtual/environment/bin/activate
+
+
+

To deactivate the virtual environment run:

+
deactivate
+
+
+

Once the virtual environment is activated, you can install pyadi-iio as normal with pip.

+

Here is a full example of a virtual environment setup and install of pyadi-iio:

+
dave@hal:~$ python3 -m venv /home/dave/venv/pyadi-iio
+dave@hal:~$ source /home/dave/venv/pyadi-iio/bin/activate
+(pyadi-iio) dave@hal:~$ pip install pyadi-iio
+Collecting pyadi-iio
+  Downloading ...
+
+
+
+
+

Conda Install#

+

For those who use the Anaconda or Conda environments, it is possible to install libiio from within those environments with the provided package managers. To install libiio, pylibiio, and pyadi-iio run:

+
conda install -c conda-forge pyadi-iio
+
+
+
+
+

Install Checks#

+

For check for libiio with the following from a command prompt or terminal:

+
dave@hal:~$ python3
+Python 3.6.8 (default, Jan 14 2019, 11:02:34)
+[GCC 8.0.1 20180414 (experimental) [trunk revision 259383]] on linux
+Type "help", "copyright", "credits" or "license" for more information.
+>>> import iio
+>>> iio.version
+(0, 18, 'eec5616')
+
+
+

If that worked, try the follow to see if pyadi-iio is there:

+
dave@hal:~$ python3
+Python 3.6.8 (default, Jan 14 2019, 11:02:34)
+[GCC 8.0.1 20180414 (experimental) [trunk revision 259383]] on linux
+Type "help", "copyright", "credits" or "license" for more information.
+>>> import adi
+>>> adi.__version__
+'0.0.5'
+>>> adi.name
+'Analog Devices Hardware Interfaces'
+
+
+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/index.html b/main/index.html new file mode 100644 index 000000000..d830b1946 --- /dev/null +++ b/main/index.html @@ -0,0 +1,409 @@ + + + + + + + + Analog Devices Hardware Python Interfaces — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + + + + + + +
+
+
+
+ +
+

Analog Devices Hardware Python Interfaces#

+

pyadi-iio is a python abstraction module for ADI hardware with IIO drivers to make them easier to use. The libIIO interface although extremely flexible can be cumbersome to use due to the amount of boilerplate code required for even simple examples, especially when interfacing with buffers. This module has custom interfaces classes for specific parts and development systems which can generally make them easier to understand and use. To get up and running with a device can be as simple as a few lines of code:

+
import adi
+
+# Create device from specific uri address
+sdr = adi.ad9361(uri="ip:192.168.2.1")
+# Get data from transceiver
+data = sdr.rx()
+
+
+
+
+ + +
+
+ +Build Status + + + +PyPI version + + + +Codacy Badge + + + +Python Version + +
+ +
+ +GitHub Pages + + + +EngineerZone + + + +Analog Wiki + +
+
+

Requirements#

+ +
+
+

Sections#

+ +
+
+

Indices and tables#

+ +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/libiio.html b/main/libiio.html new file mode 100644 index 000000000..a110f1546 --- /dev/null +++ b/main/libiio.html @@ -0,0 +1,431 @@ + + + + + + + + libiio Direct Access — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

libiio Direct Access#

+

pyadi-iio is built on-top of libiio, specifically its python bindings pylibiio. However, pyadi-iio tries to limit or shape the top-level access of certain properties of drivers exposed by libiio and its structure so users do not have to understand how libiio works. This is great until you need access to something not directly exposed by one of pyadi-iio’s classes. Fortunately, there is an easy way to directly access the libiio python API when necessary.

+
+

libiio Entry Points#

+

The main object interface to libiio is through the ctx property, which is available in every device-specific class. The context is used internally by ctx to do all libiio specific operations. Here is an example of using the ctx property with pyadi-iio and libiio:

+
import adi
+import iio
+
+sdr = adi.Pluto("ip:pluto.local")
+ctx = iio.Context("ip:pluto.local")
+
+for d1, d2 in zip(sdr.ctx.devices, ctx.devices):
+    print(d1.name, "|", d2.name)
+
+
+

Output:

+
ad9361-phy | ad9361-phy
+xadc | xadc
+cf-ad9361-dds-core-lpc | cf-ad9361-dds-core-lpc
+cf-ad9361-lpc | cf-ad9361-lpc
+
+
+

By convention device-specific classes will populate the main control driver as property _ctrl, the RX driver associated with data (DMA) as _rxadc, and the TX driver associated with data (DMA) and DDSs as _txdac. However, this is not always guaranteed depending on class implementation.

+

Please refer to the libiio python API for documentation on using libiio directly.

+
+
+

Examples#

+

Here is an example of setting the enable state machine on Pluto through the libiio API through pyadi-iio:

+
import adi
+
+sdr = adi.Pluto()
+
+phy = sdr.ctx.find_device("ad9361-phy")
+# View current mode
+print(phy.attrs["ensm_mode"].value)
+# View options
+print(phy.attrs["ensm_mode_available"].value)
+# Update mode
+phy.attrs["ensm_mode"].value = "alert"
+# View new mode
+print(phy.attrs["ensm_mode"].value)
+
+
+

Output:

+
fdd
+sleep wait alert fdd pinctrl pinctrl_fdd_indep
+alert
+
+
+

Here we can print all libiio debug attributes:

+
import adi
+
+sdr = adi.Pluto()
+
+phy = sdr.ctx.find_device("ad9361-phy")
+for dattr in phy.debug_attrs:
+    print(dattr, phy.debug_attrs[dattr])
+
+
+

Output:

+
digital_tune digital_tune
+calibration_switch_control calibration_switch_control
+multichip_sync multichip_sync
+gaininfo_rx2 gaininfo_rx2
+gaininfo_rx1 gaininfo_rx1
+bist_timing_analysis bist_timing_analysis
+gpo_set gpo_set
+bist_tone bist_tone
+bist_prbs bist_prbs
+loopback loopback
+initialize initialize
+adi,bb-clk-change-dig-tune-enable adi,bb-clk-change-dig-tune-enable
+adi,axi-half-dac-rate-enable adi,axi-half-dac-rate-enable
+adi,txmon-2-lo-cm adi,txmon-2-lo-cm
+adi,txmon-1-lo-cm adi,txmon-1-lo-cm
+adi,txmon-2-front-end-gain adi,txmon-2-front-end-gain
+adi,txmon-1-front-end-gain adi,txmon-1-front-end-gain
+...
+
+
+

On some devices it is possible to access registers. This must be done through the Device classes of the context:

+
import adi
+
+sdr = adi.Pluto()
+
+phy = sdr.ctx.find_device("ad9361-phy")
+# Read product ID register
+pi = phy.reg_read(0x37)
+print(f"ID: {hex(pi)}")
+# Enable near-end loopback in the HDL core
+rxfpga = sdr.ctx.find_device("cf-ad9361-lpc")
+rxfpga.reg_write(0x80000418, 0x1)  # I channel
+rxfpga.reg_write(0x80000458, 0x1)  # Q channel
+
+
+

Output:

+
ID: 0xa
+
+
+
+
+

libiio v1.X support#

+

pyadi-iio supports libiio v1.X and v0.X. However, the libiio python bindings are not available on PyPI for v1.X and they are currently unstable. If you require stable operation, please use libiio v0.X. Its also possible that not all ecosystem features are available yet for v1.X. Please report any issues you find with v1.X.

+
+
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/objects.inv b/main/objects.inv new file mode 100644 index 000000000..2ac6d2d46 Binary files /dev/null and b/main/objects.inv differ diff --git a/main/py-modindex.html b/main/py-modindex.html new file mode 100644 index 000000000..c1a99876d --- /dev/null +++ b/main/py-modindex.html @@ -0,0 +1,942 @@ + + + + + + + Python Module Index — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ + +

Python Module Index

+ +
+ a | + t +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
 
+ a
+ adi +
    + adi.ad2s1210 +
    + adi.ad3552r +
    + adi.ad4020 +
    + adi.ad405x +
    + adi.ad4110 +
    + adi.ad4130 +
    + adi.ad4170 +
    + adi.ad4630 +
    + adi.ad469x +
    + adi.ad4858 +
    + adi.ad5592r +
    + adi.ad5627 +
    + adi.ad5686 +
    + adi.ad5754r +
    + adi.ad579x +
    + adi.ad5940 +
    + adi.ad6676 +
    + adi.ad7124 +
    + adi.ad7134 +
    + adi.ad717x +
    + adi.ad719x +
    + adi.ad7291 +
    + adi.ad738x +
    + adi.ad7606 +
    + adi.ad7689 +
    + adi.ad7746 +
    + adi.ad7768 +
    + adi.ad777x +
    + adi.ad7799 +
    + adi.ad9081 +
    + adi.ad9081_mc +
    + adi.ad9083 +
    + adi.ad9084 +
    + adi.ad9084_mc +
    + adi.ad9094 +
    + adi.ad9136 +
    + adi.ad9144 +
    + adi.ad9152 +
    + adi.ad9162 +
    + adi.ad9166 +
    + adi.ad9172 +
    + adi.ad9213 +
    + adi.ad9250 +
    + adi.ad9265 +
    + adi.ad936x +
    + adi.ad937x +
    + adi.ad9434 +
    + adi.ad9467 +
    + adi.ad9625 +
    + adi.ad9680 +
    + adi.ad9739a +
    + adi.ada4961 +
    + adi.adaq8092 +
    + adi.adar1000 +
    + adi.adf4159 +
    + adi.adf4355 +
    + adi.adf4371 +
    + adi.adf5610 +
    + adi.adg2128 +
    + adi.adis16507 +
    + adi.adl5240 +
    + adi.adl5960 +
    + adi.admv8818 +
    + adi.adpd1080 +
    + adi.adpd188 +
    + adi.adpd410x +
    + adi.adrf5720 +
    + adi.adrv9002 +
    + adi.adrv9009 +
    + adi.adrv9009_zu11eg +
    + adi.adrv9009_zu11eg_fmcomms8 +
    + adi.adrv9009_zu11eg_multi +
    + adi.adt7420 +
    + adi.adxl313 +
    + adi.adxl345 +
    + adi.adxl355 +
    + adi.adxrs290 +
    + adi.cn0511 +
    + adi.cn0532 +
    + adi.cn0540 +
    + adi.cn0554 +
    + adi.cn0556 +
    + adi.cn0565 +
    + adi.cn0566 +
    + adi.cn0575 +
    + adi.cn0579 +
    + adi.daq2 +
    + adi.daq3 +
    + adi.dds +
    + adi.fmc_vna +
    + adi.fmcadc3 +
    + adi.fmcjesdadc1 +
    + adi.fmclidar1 +
    + adi.fmcomms11 +
    + adi.fmcomms5 +
    + adi.gen_mux +
    + adi.jesd +
    + adi.lm75 +
    + adi.ltc2314_14 +
    + adi.ltc2387 +
    + adi.ltc2499 +
    + adi.ltc2664 +
    + adi.ltc2672 +
    + adi.ltc2688 +
    + adi.ltc2983 +
    + adi.max11205 +
    + adi.max14001 +
    + adi.max31855 +
    + adi.max31865 +
    + adi.max9611 +
    + adi.one_bit_adc_dac +
    + adi.QuadMxFE_multi +
    + adi.rx_tx +
    + adi.sync_start +
    + adi.tdd +
    + adi.tddn +
 
+ t
+ test +
    + test.attr_tests +
    + test.dma_tests +
    + test.generics +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/search.html b/main/search.html new file mode 100644 index 000000000..ea30fdaac --- /dev/null +++ b/main/search.html @@ -0,0 +1,342 @@ + + + + + + + Search — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +

Search

+ + + + +

+ Searching for multiple words only shows matches that contain + all words. +

+ + +
+ + +
+ + +
+
+
+ +
+ + + +
+ ©2019-2024, Analog Devices, Inc + + | + Made with Sphinx + & Doctools + +
+ + \ No newline at end of file diff --git a/main/searchindex.js b/main/searchindex.js new file mode 100644 index 000000000..82fe6b4bc --- /dev/null +++ b/main/searchindex.js @@ -0,0 +1 @@ +Search.setIndex({"docnames": ["attr/index", "buffers/index", "dev/index", "dev/test_attr", "dev/test_dma", "dev/test_generics", "devices/adi.QuadMxFE_multi", "devices/adi.ad2s1210", "devices/adi.ad3552r", "devices/adi.ad4020", "devices/adi.ad405x", "devices/adi.ad4110", "devices/adi.ad4130", "devices/adi.ad4170", "devices/adi.ad4630", "devices/adi.ad469x", "devices/adi.ad4858", "devices/adi.ad5592r", "devices/adi.ad5627", "devices/adi.ad5686", "devices/adi.ad5754r", "devices/adi.ad579x", "devices/adi.ad5940", "devices/adi.ad6676", "devices/adi.ad7124", "devices/adi.ad7134", "devices/adi.ad717x", "devices/adi.ad719x", "devices/adi.ad7291", "devices/adi.ad738x", "devices/adi.ad7606", "devices/adi.ad7689", "devices/adi.ad7746", "devices/adi.ad7768", "devices/adi.ad777x", "devices/adi.ad7799", "devices/adi.ad9081", "devices/adi.ad9081_mc", "devices/adi.ad9083", "devices/adi.ad9084", "devices/adi.ad9084_mc", "devices/adi.ad9094", "devices/adi.ad9136", "devices/adi.ad9144", "devices/adi.ad9152", "devices/adi.ad9162", "devices/adi.ad9166", "devices/adi.ad9172", "devices/adi.ad9213", "devices/adi.ad9250", "devices/adi.ad9265", "devices/adi.ad936x", "devices/adi.ad937x", "devices/adi.ad9434", "devices/adi.ad9467", "devices/adi.ad9625", "devices/adi.ad9680", "devices/adi.ad9739a", "devices/adi.ada4961", "devices/adi.adaq8092", "devices/adi.adar1000", "devices/adi.adf4159", "devices/adi.adf4355", "devices/adi.adf4371", "devices/adi.adf5610", "devices/adi.adg2128", "devices/adi.adis16375", "devices/adi.adis16460", "devices/adi.adis16475", "devices/adi.adis16480", "devices/adi.adis16485", "devices/adi.adis16488", "devices/adi.adis16490", "devices/adi.adis16495", "devices/adi.adis16497", "devices/adi.adis16507", "devices/adi.adis16545", "devices/adi.adis16547", "devices/adi.adl5240", "devices/adi.adl5960", "devices/adi.admv8818", "devices/adi.adpd1080", "devices/adi.adpd188", "devices/adi.adpd410x", "devices/adi.adrf5720", "devices/adi.adrv9002", "devices/adi.adrv9009", "devices/adi.adrv9009_zu11eg", "devices/adi.adrv9009_zu11eg_fmcomms8", "devices/adi.adrv9009_zu11eg_multi", "devices/adi.adt7420", "devices/adi.adxl313", "devices/adi.adxl345", "devices/adi.adxl355", "devices/adi.adxrs290", "devices/adi.cn0511", "devices/adi.cn0532", "devices/adi.cn0540", "devices/adi.cn0554", "devices/adi.cn0556", "devices/adi.cn0565", "devices/adi.cn0566", "devices/adi.cn0575", "devices/adi.cn0579", "devices/adi.daq2", "devices/adi.daq3", "devices/adi.fmc_vna", "devices/adi.fmcadc3", "devices/adi.fmcjesdadc1", "devices/adi.fmclidar1", "devices/adi.fmcomms11", "devices/adi.fmcomms5", "devices/adi.gen_mux", "devices/adi.jesd", "devices/adi.lm75", "devices/adi.ltc2314_14", "devices/adi.ltc2387", "devices/adi.ltc2499", "devices/adi.ltc2664", "devices/adi.ltc2672", "devices/adi.ltc2688", "devices/adi.ltc2983", "devices/adi.max11205", "devices/adi.max14001", "devices/adi.max31855", "devices/adi.max31865", "devices/adi.max9611", "devices/adi.one_bit_adc_dac", "devices/adi.tdd", "devices/adi.tddn", "devices/index", "fpga/index", "guides/connectivity", "guides/examples", "guides/quick", "index", "libiio", "support"], "filenames": ["attr/index.rst", "buffers/index.rst", "dev/index.rst", "dev/test_attr.rst", "dev/test_dma.rst", "dev/test_generics.rst", "devices/adi.QuadMxFE_multi.rst", "devices/adi.ad2s1210.rst", "devices/adi.ad3552r.rst", "devices/adi.ad4020.rst", "devices/adi.ad405x.rst", "devices/adi.ad4110.rst", "devices/adi.ad4130.rst", "devices/adi.ad4170.rst", "devices/adi.ad4630.rst", "devices/adi.ad469x.rst", "devices/adi.ad4858.rst", "devices/adi.ad5592r.rst", "devices/adi.ad5627.rst", "devices/adi.ad5686.rst", "devices/adi.ad5754r.rst", "devices/adi.ad579x.rst", "devices/adi.ad5940.rst", "devices/adi.ad6676.rst", "devices/adi.ad7124.rst", "devices/adi.ad7134.rst", "devices/adi.ad717x.rst", "devices/adi.ad719x.rst", "devices/adi.ad7291.rst", "devices/adi.ad738x.rst", "devices/adi.ad7606.rst", "devices/adi.ad7689.rst", "devices/adi.ad7746.rst", "devices/adi.ad7768.rst", "devices/adi.ad777x.rst", "devices/adi.ad7799.rst", "devices/adi.ad9081.rst", "devices/adi.ad9081_mc.rst", "devices/adi.ad9083.rst", "devices/adi.ad9084.rst", "devices/adi.ad9084_mc.rst", "devices/adi.ad9094.rst", "devices/adi.ad9136.rst", "devices/adi.ad9144.rst", "devices/adi.ad9152.rst", "devices/adi.ad9162.rst", "devices/adi.ad9166.rst", "devices/adi.ad9172.rst", "devices/adi.ad9213.rst", "devices/adi.ad9250.rst", "devices/adi.ad9265.rst", "devices/adi.ad936x.rst", "devices/adi.ad937x.rst", "devices/adi.ad9434.rst", "devices/adi.ad9467.rst", "devices/adi.ad9625.rst", "devices/adi.ad9680.rst", "devices/adi.ad9739a.rst", "devices/adi.ada4961.rst", "devices/adi.adaq8092.rst", "devices/adi.adar1000.rst", "devices/adi.adf4159.rst", "devices/adi.adf4355.rst", "devices/adi.adf4371.rst", "devices/adi.adf5610.rst", "devices/adi.adg2128.rst", "devices/adi.adis16375.rst", "devices/adi.adis16460.rst", "devices/adi.adis16475.rst", "devices/adi.adis16480.rst", "devices/adi.adis16485.rst", "devices/adi.adis16488.rst", "devices/adi.adis16490.rst", "devices/adi.adis16495.rst", "devices/adi.adis16497.rst", "devices/adi.adis16507.rst", "devices/adi.adis16545.rst", "devices/adi.adis16547.rst", "devices/adi.adl5240.rst", "devices/adi.adl5960.rst", "devices/adi.admv8818.rst", "devices/adi.adpd1080.rst", "devices/adi.adpd188.rst", "devices/adi.adpd410x.rst", "devices/adi.adrf5720.rst", "devices/adi.adrv9002.rst", "devices/adi.adrv9009.rst", "devices/adi.adrv9009_zu11eg.rst", "devices/adi.adrv9009_zu11eg_fmcomms8.rst", "devices/adi.adrv9009_zu11eg_multi.rst", "devices/adi.adt7420.rst", "devices/adi.adxl313.rst", "devices/adi.adxl345.rst", "devices/adi.adxl355.rst", "devices/adi.adxrs290.rst", "devices/adi.cn0511.rst", "devices/adi.cn0532.rst", "devices/adi.cn0540.rst", "devices/adi.cn0554.rst", "devices/adi.cn0556.rst", "devices/adi.cn0565.rst", "devices/adi.cn0566.rst", "devices/adi.cn0575.rst", "devices/adi.cn0579.rst", "devices/adi.daq2.rst", "devices/adi.daq3.rst", "devices/adi.fmc_vna.rst", "devices/adi.fmcadc3.rst", "devices/adi.fmcjesdadc1.rst", "devices/adi.fmclidar1.rst", "devices/adi.fmcomms11.rst", "devices/adi.fmcomms5.rst", "devices/adi.gen_mux.rst", "devices/adi.jesd.rst", "devices/adi.lm75.rst", "devices/adi.ltc2314_14.rst", "devices/adi.ltc2387.rst", "devices/adi.ltc2499.rst", "devices/adi.ltc2664.rst", "devices/adi.ltc2672.rst", "devices/adi.ltc2688.rst", "devices/adi.ltc2983.rst", "devices/adi.max11205.rst", "devices/adi.max14001.rst", "devices/adi.max31855.rst", "devices/adi.max31865.rst", "devices/adi.max9611.rst", "devices/adi.one_bit_adc_dac.rst", "devices/adi.tdd.rst", "devices/adi.tddn.rst", "devices/index.rst", "fpga/index.rst", "guides/connectivity.rst", "guides/examples.rst", "guides/quick.rst", "index.rst", "libiio.md", "support.rst"], "titles": ["Attributes", "Buffers", "Developers", "Attribute Tests", "DMA Tests", "Generic Tests", "QuadMxFE_multi", "ad2s1210", "ad3552r", "ad4020", "ad405x", "ad4110", "ad4130", "ad4170", "ad4630", "ad469x", "ad4858", "ad5592r", "ad5627", "ad5686", "ad5754r", "ad579x", "adi.ad5940 module", "adi.ad6676 module", "ad7124", "ad7134", "ad717x", "ad719x", "ad7291", "ad738x", "ad7606", "ad7689", "ad7746", "ad7768", "ad777x", "ad7799", "ad9081", "ad9081_mc", "ad9083", "ad9081", "ad9084_mc", "ad9094", "ad9136", "ad9144", "ad9152", "adi.ad9162 module", "adi.ad9166 module", "adi.ad9172 module", "ad9213", "adi.ad9250 module", "adi.ad9265 module", "ad936x", "ad937x", "adi.ad9434 module", "adi.ad9467 module", "adi.ad9625 module", "ad9680", "adi.ad9739a module", "adi.ada4961 module", "adaq8092", "adar1000", "adf4159", "adi.adf4355 module", "adf4371", "adf5610", "adi.adg2128 module", "adis16375", "adis16460", "adis16475", "adis16480", "adis16485", "adis16488", "adis16490", "adis16495", "adis16497", "adis16507", "adis16545", "adis16547", "adi.adl5240 module", "adl5960", "admv8818", "adpd1080", "adpd188", "adpd410x", "adrf5720", "adrv9002", "adrv9009", "adrv9009_zu11eg", "adrv9009_zu11eg_fmcomms8", "adrv9009_zu11eg_multi", "adi.adt7420 module", "adxl313", "adxl345", "adxl355", "adxrs290", "adi.cn0511 module", "cn0532", "cn0540", "cn0554", "cn0556", "cn0565", "adi.cn0566 module", "cn0575", "cn0579", "daq2", "daq3", "fmc_vna", "adi.fmcadc3 module", "adi.fmcjesdadc1 module", "fmclidar1", "adi.fmcomms11 module", "fmcomms5", "gen_mux", "jesd", "lm75", "ltc2314_14", "ltc2387", "adi.ltc2499 module", "ltc2664", "ltc2672", "ltc2688", "ltc2983", "adi.max11205 module", "adi.max14001 module", "adi.max31855 module", "adi.max31865 module", "adi.max9611 module", "one_bit_adc_dac", "tdd", "tddn", "Supported Devices", "FPGA Features", "Connectivity", "Examples", "Quick Start", "Analog Devices Hardware Python Interfaces", "libiio Direct Access", "Support"], "terms": {"To": [0, 1, 2, 8, 9, 131, 134, 135], "simplifi": [0, 99], "hardwar": [0, 1, 4, 6, 14, 17, 52, 58, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 132, 133, 134], "configur": [0, 14, 17, 36, 37, 39, 40, 60, 65, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 85, 98, 101, 131, 133, 134], "through": [0, 1, 2, 4, 131, 132, 136, 137], "differ": [0, 2, 3, 4, 5, 23, 37, 40, 85, 100, 101, 132, 133], "iio": [0, 1, 2, 3, 4, 5, 37, 40, 60, 62, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 112, 115, 127, 132, 134, 135, 136, 137], "driver": [0, 1, 2, 3, 5, 36, 37, 39, 40, 42, 60, 85, 127, 135, 136], "basic": [0, 131], "class": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 135, 136], "properti": [0, 1, 2, 3, 5, 6, 7, 9, 10, 14, 16, 17, 18, 20, 21, 22, 23, 24, 30, 33, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 97, 98, 99, 100, 101, 102, 103, 108, 109, 111, 112, 114, 115, 116, 119, 125, 127, 128, 129, 131, 132, 133, 136], "ar": [0, 1, 2, 3, 4, 5, 17, 23, 36, 37, 39, 40, 49, 50, 51, 52, 53, 54, 55, 56, 58, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 85, 86, 87, 88, 89, 97, 98, 99, 100, 101, 108, 111, 116, 131, 132, 133, 134, 136], "expos": [0, 37, 40, 102, 136], "top": [0, 101, 132, 136], "level": [0, 23, 42, 101, 136], "each": [0, 1, 2, 6, 9, 14, 37, 40, 60, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 101, 127, 131], "devic": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 14, 17, 22, 23, 36, 37, 39, 40, 52, 60, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 82, 85, 86, 89, 99, 101, 104, 105, 107, 112, 114, 116, 124, 125, 127, 131, 132, 133, 134, 136], "specif": [0, 1, 2, 3, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 131, 132, 133, 135, 136], "These": [0, 2, 60, 99, 100, 131, 132], "abstract": [0, 135], "awai": 0, "need": [0, 2, 52, 101, 134, 136], "know": 0, "channel": [0, 1, 3, 4, 5, 6, 8, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 27, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 46, 52, 59, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 81, 82, 83, 84, 85, 86, 87, 88, 89, 92, 94, 98, 101, 103, 108, 109, 117, 119, 121, 122, 123, 126, 127, 129, 131, 136], "name": [0, 1, 2, 3, 4, 5, 8, 9, 36, 37, 39, 40, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 98, 101, 127, 134, 136], "type": [0, 1, 3, 4, 5, 6, 14, 33, 37, 40, 60, 62, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 81, 86, 87, 88, 89, 98, 99, 100, 101, 112, 114, 115, 127, 131, 134], "sourc": [0, 1, 2, 4, 52, 60, 66, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 86, 99, 101, 103, 131, 133, 134], "other": [0, 1, 2, 101, 133, 134], "detail": 0, "requir": [0, 4, 5, 60, 97, 134, 136], "libiio": [0, 2, 5, 37, 40, 132, 134, 135], "api": [0, 36, 37, 39, 40, 85, 136], "instead": [0, 4, 5, 23], "have": [0, 1, 2, 65, 85, 131, 132, 134, 136], "easi": [0, 136], "understand": [0, 1, 135, 136], "document": [0, 1, 2, 36, 85, 136], "error": [0, 1, 3, 4, 5, 36, 37, 39, 40, 52, 85, 86, 101], "handl": [0, 1, 2, 60, 101, 113, 127], "help": [0, 2, 23, 134], "manag": [0, 2, 6, 37, 40, 89, 134], "interfac": [0, 1, 2, 3, 4, 5, 17, 37, 40, 132, 133, 134, 136, 137], "data": [0, 1, 2, 4, 6, 8, 14, 17, 23, 36, 37, 39, 40, 42, 52, 59, 61, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 89, 97, 98, 99, 103, 104, 105, 107, 108, 131, 132, 133, 135, 136], "can": [0, 1, 2, 3, 4, 5, 17, 23, 36, 37, 39, 40, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101, 131, 132, 134, 135, 136], "read": [0, 3, 5, 17, 37, 40, 52, 60, 63, 79, 82, 97, 99, 100, 101, 102, 109, 115, 132, 133, 136], "written": [0, 3, 5], "follow": [0, 2, 8, 9, 17, 60, 73, 74, 76, 77, 134], "from": [0, 1, 2, 3, 5, 6, 14, 23, 36, 39, 52, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 97, 98, 99, 100, 101, 103, 115, 127, 131, 132, 133, 134, 135], "given": [0, 5, 8, 9, 37, 40, 60], "import": [0, 1, 2, 9, 37, 40, 113, 131, 132, 133, 134, 135, 136], "adi": [0, 1, 2, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 48, 51, 52, 56, 59, 60, 61, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 111, 112, 114, 115, 116, 118, 119, 120, 121, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137], "lidar": [0, 109], "fmclidar1": [0, 130], "current": [0, 2, 20, 22, 36, 52, 60, 86, 93, 99, 103, 119, 126, 129, 134, 136], "puls": [0, 60, 109, 129], "width": [0, 109], "print": [0, 1, 2, 8, 17, 101, 132, 133, 136], "laser_pulse_width": [0, 109], "chang": [0, 4, 7, 136], "laser": [0, 109], "frequenc": [0, 4, 7, 9, 10, 14, 16, 20, 21, 22, 23, 24, 33, 36, 38, 39, 45, 46, 51, 52, 59, 60, 61, 63, 64, 79, 80, 81, 82, 83, 85, 86, 87, 88, 89, 92, 94, 95, 96, 101, 109, 111, 125, 131], "1": [0, 1, 3, 4, 5, 8, 17, 23, 36, 37, 39, 40, 51, 52, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 85, 86, 87, 88, 95, 99, 100, 101, 103, 111, 131, 132, 133, 134, 135, 136], "mhz": [0, 23, 78, 79, 80, 116], "laser_frequ": [0, 109], "1000000": 0, "If": [0, 1, 2, 4, 37, 40, 60, 95, 101, 132, 134, 136], "more": [0, 1, 2, 6, 14, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 134], "i": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 14, 17, 22, 23, 36, 37, 39, 40, 46, 51, 52, 60, 61, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 86, 87, 88, 89, 95, 97, 99, 101, 111, 131, 132, 133, 134, 135, 136], "about": [0, 36, 85], "directli": [0, 2, 5, 60, 134, 136], "inspect": 0, "definit": [0, 2], "documnent": 0, "python": [0, 2, 37, 40, 134, 136], "itself": [0, 2, 137], "method": [0, 1, 2, 4, 60, 85, 101], "python3": [0, 2, 134], "pluto": [0, 2, 51, 101, 130, 132, 133, 136], "gain_control_mode_chan0": [0, 51, 85, 86], "mode": [0, 4, 10, 14, 21, 22, 23, 33, 36, 39, 49, 50, 51, 52, 53, 54, 55, 56, 60, 61, 63, 79, 80, 82, 83, 85, 86, 87, 88, 97, 99, 108, 109, 111, 128, 136], "receiv": [0, 1, 4, 5, 6, 14, 23, 36, 37, 39, 40, 51, 52, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 86, 87, 88, 89, 101, 111, 129, 131, 133], "path": [0, 2, 36, 39, 42, 43, 44, 45, 47, 51, 52, 55, 57, 78, 85, 86, 87, 88, 101, 111, 131, 134], "agc": [0, 4, 51, 52, 85, 86, 87, 88, 111], "option": [0, 2, 5, 23, 36, 37, 39, 40, 46, 49, 50, 51, 52, 53, 54, 55, 56, 58, 60, 85, 86, 87, 88, 95, 97, 101, 108, 111, 112, 113, 116, 119, 131, 134, 135, 136], "slow_attack": [0, 51, 86, 87, 88, 111, 133], "fast_attack": [0, 51, 111], "manual": [0, 1, 51, 52, 86, 87, 88, 109, 111], "For": [0, 1, 2, 8, 9, 36, 37, 39, 40, 60, 65, 101, 131, 134], "complet": 0, "refer": [0, 1, 2, 20, 60, 98, 131, 136], "support": [0, 1, 8, 9, 23, 36, 100, 135], "us": [1, 2, 3, 4, 5, 6, 8, 9, 14, 23, 36, 37, 39, 40, 52, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 89, 98, 99, 101, 131, 132, 133, 135, 136], "transmit": [1, 4, 36, 39, 85, 101, 131, 133], "done": [1, 2, 132, 136], "interact": 1, "two": [1, 4, 101, 128, 131], "thi": [1, 2, 3, 4, 5, 6, 8, 9, 23, 36, 37, 39, 40, 52, 60, 73, 74, 76, 77, 85, 86, 89, 95, 97, 101, 127, 128, 131, 134, 135, 136], "rx": [1, 4, 6, 7, 9, 10, 11, 12, 13, 14, 15, 16, 22, 23, 24, 25, 26, 27, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 81, 82, 83, 85, 86, 87, 88, 89, 91, 92, 93, 94, 97, 98, 101, 109, 111, 116, 117, 121, 122, 123, 124, 125, 126, 128, 133, 135, 136], "how": [1, 60, 133, 136], "captur": [1, 2, 4, 98, 131], "therefor": [1, 134], "produc": [1, 128, 129], "depend": [1, 3, 60, 113, 131, 134, 136], "main": [1, 2, 23, 37, 40, 136], "rx_enabled_channel": [1, 4, 6, 14, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 98], "an": [1, 3, 4, 5, 6, 8, 9, 14, 23, 36, 37, 40, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 101, 116, 131, 132, 136], "arrai": [1, 6, 14, 37, 40, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 100, 101], "integ": [1, 3, 4, 5, 46, 60, 114, 116, 131], "number": [1, 3, 4, 5, 8, 17, 37, 40, 52, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 98, 100, 101, 131], "element": [1, 5, 60, 101], "determin": [1, 3, 4, 14, 37, 40], "list": [1, 2, 3, 4, 6, 14, 16, 20, 37, 40, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 98, 101, 119, 128, 131], "item": [1, 101], "return": [1, 2, 3, 6, 14, 17, 22, 36, 37, 39, 40, 46, 52, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 99, 101, 114, 131], "complex": [1, 6, 14, 22, 23, 52, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 131, 133], "index": [1, 5, 6, 10, 11, 12, 13, 14, 15, 16, 24, 25, 26, 27, 29, 30, 31, 34, 36, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 101, 114, 122, 123, 131, 135], "individu": [1, 8, 17, 36, 39, 60, 131], "q": [1, 4, 23, 136], "when": [1, 2, 4, 6, 8, 9, 14, 23, 36, 37, 39, 40, 51, 52, 60, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 86, 87, 88, 89, 99, 109, 111, 129, 131, 134, 135, 136], "len": [1, 131], "just": [1, 37, 40], "singl": [1, 36, 37, 39, 40, 46, 60, 61, 101, 128, 131], "within": [1, 4, 23, 37, 40, 128, 131, 134], "rx_buffer_s": [1, 6, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 89, 98], "sampl": [1, 4, 6, 9, 10, 14, 16, 20, 21, 24, 33, 36, 38, 39, 42, 43, 44, 45, 46, 47, 51, 52, 55, 57, 59, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 81, 82, 83, 85, 86, 87, 88, 89, 92, 97, 98, 101, 111, 115, 116, 125, 131], "like": [1, 17, 37, 40, 101, 132, 134], "transceiv": [1, 6, 51, 52, 85, 86, 87, 88, 89, 110, 111, 135], "defin": [1, 3, 36, 132], "author": 1, "transmitt": [1, 36], "tx": [1, 4, 8, 18, 20, 21, 36, 39, 42, 43, 44, 45, 46, 47, 51, 52, 57, 60, 61, 78, 85, 86, 87, 88, 101, 111, 128, 133, 136], "sent": [1, 101], "must": [1, 2, 3, 4, 5, 8, 9, 36, 37, 39, 40, 131, 134, 136], "pass": [1, 2, 4, 36, 37, 39, 40, 80, 94, 101, 131, 132], "one": [1, 2, 6, 14, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 101, 127, 128, 129, 131, 132, 136], "tx_enabled_channel": [1, 4], "submit": [1, 2, 137], "onli": [1, 2, 3, 4, 10, 14, 17, 22, 36, 37, 39, 40, 51, 52, 65, 85, 86, 87, 88, 111, 131, 134], "enabl": [1, 2, 4, 6, 14, 20, 23, 33, 36, 39, 46, 52, 60, 61, 63, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 85, 86, 87, 88, 89, 95, 97, 98, 99, 100, 109, 128, 129, 131, 136], "length": [1, 10, 128, 129], "greater": 1, "than": [1, 2, 4, 6, 14, 23, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 102, 131], "zero": [1, 4, 5, 23, 46, 101, 109, 131], "set": [1, 3, 4, 6, 7, 9, 14, 17, 20, 23, 24, 30, 36, 38, 46, 51, 52, 55, 58, 60, 61, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 81, 82, 84, 85, 86, 87, 88, 89, 92, 94, 95, 97, 99, 101, 109, 111, 112, 119, 131, 132, 133, 136], "none": [1, 3, 4, 6, 60, 66, 69, 70, 71, 72, 73, 74, 76, 77, 86, 87, 88, 89, 101, 117, 121], "empti": [1, 101, 114], "In": [1, 2, 22, 23, 52, 85, 128, 131, 133], "case": [1, 4, 36, 37, 39, 40, 85, 116], "call": [1, 2, 52, 97], "without": [1, 2, 4, 5, 97, 132], "input": [1, 3, 17, 23, 36, 46, 52, 85, 86, 87, 88, 97, 98, 99, 114, 128], "special": [1, 131], "connect": [1, 2, 4, 6, 36, 39, 46, 60, 65, 89, 135], "stream": [1, 85], "fpga": [1, 2, 4, 133, 135], "base": [1, 2, 3, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 133, 135], "background": 1, "intern": [1, 4, 20, 23, 52, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101, 128, 129, 136], "work": [1, 2, 8, 9, 131, 134, 136], "gener": [1, 2, 3, 4, 36, 37, 40, 46, 60, 85, 95, 112, 129, 131, 135, 137], "dac": [1, 4, 8, 17, 19, 20, 21, 36, 39, 42, 43, 44, 45, 46, 47, 60, 98, 99, 101, 118, 119, 120, 127, 131, 136], "mani": [1, 60], "continu": 1, "signal": [1, 4, 6, 23, 36, 37, 39, 40, 46, 86, 89, 95, 98, 128, 131], "over": [1, 5, 23, 52, 86, 125, 131, 132, 133], "even": [1, 36, 39, 135], "debug": [1, 5, 101, 134, 135, 136], "test": [1, 23, 36, 39, 49, 50, 53, 54, 55, 56, 108, 131], "especi": [1, 2, 135], "handi": [1, 2], "you": [1, 2, 37, 40, 60, 95, 101, 132, 134, 136], "ha": [1, 2, 52, 85, 101, 116, 131, 135], "veri": 1, "high": [1, 4, 23, 36, 38, 39, 42, 43, 44, 47, 48, 49, 50, 53, 54, 55, 56, 80, 94, 96, 99, 100, 104, 105, 107, 108, 128, 131], "rate": [1, 2, 4, 10, 16, 23, 36, 38, 39, 42, 43, 44, 45, 47, 51, 52, 55, 57, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 85, 86, 87, 88, 97, 98, 101, 111, 116, 131, 136], "imposs": 1, "keep": [1, 2], "provid": [1, 2, 4, 23, 24, 30, 37, 40, 51, 52, 55, 65, 86, 92, 94, 99, 100, 101, 111, 131, 134], "real": [1, 22, 52, 86, 121], "time": [1, 3, 4, 52, 61, 86, 128, 131], "complement": 1, "possibl": [1, 3, 5, 20, 52, 101, 131, 134, 136], "creat": [1, 4, 5, 23, 60, 101, 127, 131, 132, 133, 134, 135], "which": [1, 2, 3, 4, 14, 23, 37, 40, 52, 60, 97, 101, 102, 109, 128, 131, 132, 133, 135, 136], "repeat": [1, 3, 4, 5, 36, 39, 129], "we": [1, 2, 101, 136], "ident": [1, 36, 37, 39, 40], "normal": [1, 134], "non": [1, 4, 131], "except": [1, 4, 109], "thei": [1, 2, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 85, 100, 134, 136], "reach": 1, "here": [1, 2, 23, 132, 133, 134, 136], "small": [1, 23, 95, 133], "sdr": [1, 101, 131, 132, 133, 135, 136], "ad9361": [1, 51, 111, 130, 131, 132, 133, 135, 136], "sinusoid": [1, 4], "fc": 1, "3000000": 1, "n": [1, 23, 61, 109, 131], "1024": 1, "t": [1, 60, 128], "30000000": 1, "0": [1, 3, 4, 8, 19, 23, 24, 28, 36, 37, 40, 46, 51, 52, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 82, 85, 86, 87, 88, 95, 99, 101, 103, 106, 111, 114, 116, 118, 120, 128, 129, 131, 133, 134], "np": [1, 100, 133], "arang": [1, 133], "co": 1, "2": [1, 3, 4, 8, 9, 17, 23, 37, 40, 51, 57, 58, 60, 68, 73, 74, 76, 77, 80, 98, 101, 103, 111, 131, 132, 133, 135, 136], "pi": [1, 95, 98, 101, 135, 136], "14": [1, 37, 40, 57, 59, 60, 115, 134], "sin": 1, "iq": 1, "1j": 1, "tx_cyclic_buff": [1, 133], "true": [1, 2, 4, 5, 36, 39, 46, 60, 95, 99, 101, 131, 133], "send": [1, 133], "At": [1, 131], "point": [1, 2, 46, 60, 65], "indefinit": [1, 129], "until": [1, 52, 136], "destroi": [1, 4], "object": [1, 6, 8, 9, 60, 65, 86, 87, 88, 89, 98, 102, 136], "destructor": 1, "onc": [1, 2, 52, 65, 85, 131, 134], "push": [1, 4], "occur": [1, 4, 99], "updat": [1, 7, 101, 114, 136], "tx_destroy_buff": 1, "befor": [1, 2, 3, 4, 36, 39, 60, 97, 129, 134], "new": [1, 36, 60, 65, 85, 134, 136], "vector": [1, 46, 60, 79, 106], "By": [1, 2, 8, 9, 136], "default": [1, 2, 4, 8, 9, 23, 60, 66, 69, 70, 71, 72, 73, 76, 77, 101, 109, 114, 134], "appear": 1, "confus": 1, "all": [1, 2, 3, 6, 14, 16, 20, 23, 24, 30, 37, 40, 55, 60, 65, 88, 89, 92, 94, 101, 109, 119, 131, 136], "your": [1, 2, 134], "do": [1, 2, 36, 134, 136], "similar": [1, 4, 132], "imu": [1, 75], "adi16495": 1, "certain": [1, 2, 4, 6, 89, 131, 136], "acceler": 1, "angular": 1, "veloc": 1, "label": [1, 2, 60], "rx_annot": [1, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "output": [1, 4, 5, 7, 14, 17, 23, 52, 60, 61, 63, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 95, 98, 99, 101, 131, 136], "dictionari": [1, 3, 4, 60], "kei": [1, 3, 60, 131], "dev": [1, 8, 17, 37, 40, 65, 101], "adis16495": [1, 130], "3": [1, 2, 23, 37, 40, 58, 60, 73, 74, 75, 76, 77, 91, 92, 93, 101, 103, 133, 134], "With": 1, "35681": 1, "84055": 1, "175914": 1, "203645": 1, "698249": 1, "51670": 1, "1770250": 1, "1529968": 1, "2586191": 1, "5353355": 1, "827741": 1, "11736339": 1, "9847894": 1, "17242014": 1, "97421833": 1, "277496774": 1, "dtype": 1, "int32": 1, "49151": 1, "753663": 1, "3571711": 1, "9928703": 1, "18956287": 1, "25165823": 1, "18612223": 1, "10125313": 1, "60850176": 1, "114491392": 1, "131350528": 1, "61521920": 1, "135069695": 1, "466845695": 1, "899235839": 1, "1362378751": 1, "accel_x": 1, "1775091711": 1, "2072264703": 1, "2147483647": 1, "2143404031": 1, "2125430783": 1, "2123120639": 1, "2130821119": 1, "2139488255": 1, "2144911359": 1, "2147041279": 1, "2147467263": 1, "anglvel_x": 1, "357750219": 1, "335109279": 1, "323033231": 1, "337667193": 1, "337100396": 1, "330408402": 1, "333459194": 1, "335322576": 1, "333247166": 1, "333223475": 1, "333996322": 1, "333805525": 1, "333659152": 1, "333664680": 1, "333718473": 1, "333895650": 1, "convert": [1, 7, 10, 11, 12, 13, 15, 16, 24, 25, 26, 27, 29, 30, 31, 34, 57, 93, 98, 99, 114, 121, 122, 123, 131], "scientif": 1, "volt": [1, 98, 99, 101], "degre": [1, 17, 46, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 93, 114], "meter": [1, 60, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101], "per": [1, 4, 17, 36, 42, 43, 44, 45, 47, 51, 52, 55, 57, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 85, 86, 87, 88, 97, 101, 111, 116, 131], "second": [1, 3, 4, 42, 43, 44, 45, 47, 51, 52, 55, 57, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 85, 86, 87, 88, 97, 111, 116, 131], "among": 1, "control": [1, 7, 22, 23, 36, 37, 39, 40, 52, 60, 78, 79, 85, 86, 99, 101, 103, 112, 128, 129, 131, 132, 134, 136], "rx_output_typ": [1, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 98], "either": [1, 36, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 99, 134], "raw": [1, 8, 10, 11, 12, 13, 15, 16, 17, 23, 24, 25, 26, 27, 29, 30, 31, 34, 46, 93, 98, 114, 119, 121, 122, 123], "si": [1, 10, 11, 12, 13, 15, 16, 24, 25, 26, 27, 29, 30, 31, 34, 98, 101, 122, 123], "assum": [1, 2, 37, 40, 60], "its": [1, 4, 23, 65, 134, 136], "below": [1, 2, 23, 37, 40, 131], "make": [1, 2, 36, 39, 134, 135], "x": [1, 17, 65, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101], "direct": [1, 38, 60, 64, 68, 79, 80, 101, 135], "35644": 1, "84039": 1, "175647": 1, "203867": 1, "697612": 1, "50201": 1, "1770177": 1, "1526291": 1, "2589741": 1, "5349126": 1, "839188": 1, "11738313": 1, "9824911": 1, "17267701": 1, "97333042": 1, "277410285": 1, "9": [1, 2, 4, 37, 40, 60, 116, 131, 133], "29996712": 1, "71257202": 1, "40097973": 1, "78345151": 1, "77009362": 1, "59662456": 1, "67300333": 1, "71593538": 1, "65847317": 1, "6580597": 1, "68022501": 1, "67715545": 1, "67511814": 1, "67609361": 1, "67323293": 1, "67104074": 1, "exact": [1, 131], "scale": [1, 4, 17, 23, 24, 30, 36, 39, 45, 52, 55, 98, 99, 101, 131], "should": [1, 2, 4, 60, 85, 102, 109, 128, 132, 137], "review": 1, "rx_tx": [1, 36, 39, 52, 85, 86], "are_channels_complex": 1, "str": [1, 3, 48, 51, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 128], "bool": [1, 4, 7, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101, 128, 129], "check": [1, 2, 3, 4, 5, 36, 39, 99], "arg": [1, 48, 51, 66, 69, 70, 71, 72, 73, 76, 77], "rx_core": 1, "numpi": [1, 6, 14, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 133, 135], "contain": [1, 3, 4, 6, 14, 23, 37, 40, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 131], "size": [1, 3, 4, 6, 36, 39, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 89, 101], "rx_channel_nam": [1, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "rx_destroy_buff": [1, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "clear": [1, 20, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 131], "int": [1, 4, 6, 7, 37, 40, 60, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 89, 101, 128, 129], "translat": [1, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "rx_def": [1, 48], "context": [1, 2, 3, 4, 5, 37, 40, 48, 51, 60, 62, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 86, 87, 88, 112, 114, 115, 127, 136], "kwarg": [1, 48, 51, 96], "templat": 1, "metaclass": 1, "rx_tx_common": 1, "common": [1, 60, 65, 134], "function": [1, 3, 4, 5, 36, 39, 52, 99, 100], "rx_tx_def": [1, 51], "shared_def": 1, "share": [1, 85, 99], "compon": 1, "fals": [1, 2, 4, 5, 36, 37, 39, 40, 46, 52, 60, 66, 69, 70, 71, 72, 73, 74, 76, 77, 86, 87, 88, 89, 95, 99, 101], "tx_core": 1, "data_np": 1, "tx_channel_nam": 1, "tx_def": 1, "collect": [1, 4, 5, 133, 134], "get": [1, 2, 7, 9, 10, 14, 16, 18, 20, 33, 35, 38, 59, 60, 61, 63, 64, 79, 80, 83, 85, 98, 101, 103, 109, 112, 115, 119, 135], "back": [1, 3, 5, 37, 40, 52, 132, 133], "chan1": 1, "both": [1, 17, 36, 39, 87, 100, 101], "chan2": 1, "radio": 1, "ad9371": [1, 52, 130], "sinewav": 1, "waveform": [1, 4], "f": [1, 100, 136], "tx_sample_r": [1, 36, 39, 52, 85, 86, 87, 88], "40000000": 1, "float": [1, 3, 4, 5, 37, 40, 60, 99, 101, 128, 129, 131], "iq2": 1, "section": 2, "advanc": 2, "user": [2, 23, 49, 50, 53, 54, 55, 56, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 99, 108, 109, 136], "code": [2, 17, 20, 46, 135, 137], "run": [2, 52, 128, 134, 135], "few": [2, 101, 135], "wai": [2, 37, 40, 133, 136], "thing": 2, "pyadi": [2, 3, 4, 5, 37, 40, 132, 134, 135, 136, 137], "repetitv": 2, "task": 2, "easier": [2, 135], "util": [2, 37, 40, 99, 100, 132], "pyinvok": 2, "see": [2, 36, 65, 85, 134], "avail": [2, 3, 10, 14, 16, 17, 21, 23, 24, 30, 33, 55, 79, 80, 92, 94, 112, 116, 131, 133, 134, 136], "build": [2, 134], "packag": [2, 134], "builddoc": 2, "sphinx": 2, "doc": 2, "changelog": 2, "last": [2, 83], "releas": [2, 131, 134], "checkpart": 2, "miss": [2, 4], "part": [2, 8, 9, 22, 52, 73, 74, 76, 77, 135], "supported_part": 2, "md": 2, "createreleas": 2, "github": [2, 134, 137], "libiiopath": 2, "search": [2, 135], "bind": [2, 134, 136], "setup": [2, 134], "pip": [2, 134], "pytest": 2, "pre": [2, 3], "commit": 2, "heavili": 2, "reli": 2, "order": [2, 23, 36, 60, 109], "elimin": 2, "bug": [2, 137], "Be": 2, "sure": [2, 132, 134], "repo": 2, "root": [2, 42, 45, 49, 52, 55, 108], "file": [2, 36, 51, 52, 86, 101, 111], "fortun": [2, 136], "unless": 2, "found": [2, 4], "It": [2, 37, 40, 52, 101, 134], "load": [2, 36, 51, 52, 60, 85, 86, 101, 111], "find": [2, 136], "skip": 2, "framework": 2, "m": [2, 101, 128, 129, 134], "add": [2, 17, 65, 101], "argument": [2, 37, 40, 65], "There": 2, "sever": [2, 101], "featur": [2, 23, 99, 100, 135, 136], "custom": [2, 96, 135], "marker": 2, "plugin": 2, "filter": [2, 10, 33, 46, 51, 52, 80, 85, 86, 87, 88, 94, 111], "test_map": 2, "py": [2, 131], "directori": 2, "map": [2, 36, 39, 60], "board": [2, 3, 4, 5, 23, 37, 40, 60, 95, 96, 97, 99, 101, 106, 108, 111, 132], "daughtercard": 2, "design": [2, 52, 131], "folder": 2, "sd": 2, "card": 2, "them": [2, 101, 135], "flag": [2, 4, 86, 87, 88, 89, 97, 125, 134], "exampl": [2, 8, 9, 37, 40, 60, 116, 131, 132, 134, 135], "would": [2, 37, 40, 52, 97], "relat": [2, 60, 85, 131, 137], "adrv9009": [2, 87, 88, 89, 130, 134], "zynqmp": 2, "zcu102": 2, "rev10": 2, "those": [2, 134], "sinc": [2, 23, 37, 40, 52, 132, 134], "implement": [2, 136], "also": [2, 4, 7, 97, 102, 136], "standard": [2, 85], "hw": 2, "cli": 2, "maintain": 2, "team": 2, "alongsid": 2, "ensur": 2, "xml_gen": 2, "ci": 2, "automat": [2, 37, 40, 52, 85, 132, 134], "valid": [2, 60, 97, 98, 116], "prevent": [2, 134], "merg": 2, "note": [2, 60, 134], "same": [2, 8, 9, 37, 40, 85, 131], "iio_genxml": 2, "doe": [2, 5, 7, 37, 40, 134], "valu": [2, 3, 4, 5, 7, 10, 11, 12, 13, 14, 15, 16, 17, 23, 24, 25, 26, 27, 29, 30, 31, 34, 36, 37, 38, 40, 46, 52, 60, 64, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 85, 86, 98, 99, 101, 109, 114, 119, 121, 122, 123, 128, 131, 136], "larg": [2, 23], "parameteriz": 2, "link": [2, 36, 39], "categori": 2, "attribut": [2, 4, 5, 14, 17, 19, 23, 36, 37, 40, 46, 51, 52, 58, 60, 61, 62, 63, 64, 65, 78, 79, 80, 84, 86, 90, 91, 92, 93, 94, 109, 111, 112, 114, 115, 118, 119, 120, 124, 125, 127, 128, 129, 135, 136], "attribute_check_range_readonly_with_depend": [2, 3], "attribute_check_range_singleval_with_depend": [2, 3], "attribute_multiple_valu": [2, 3], "attribute_multiple_values_available_readonli": [2, 3], "attribute_multiple_values_device_channel": [2, 3], "attribute_multiple_values_error": [2, 3], "attribute_multiple_values_with_depend": [2, 3], "attribute_readonly_with_depend": [2, 3], "attribute_single_valu": [2, 3], "attribute_single_value_boolean": [2, 3], "attribute_single_value_boolean_readonli": [2, 3], "attribute_single_value_channel_readonli": [2, 3], "attribute_single_value_device_name_channel_readonli": [2, 3], "attribute_single_value_pow2": [2, 3], "attribute_single_value_range_channel": [2, 3], "attribute_single_value_readonli": [2, 3], "attribute_single_value_str": [2, 3], "attribute_write_only_str": [2, 3], "attribute_write_only_str_device_channel": [2, 3], "attribute_write_only_str_with_depend": [2, 3], "floor_step_s": [2, 3], "dma": [2, 36, 37, 39, 40, 85, 97, 128, 136], "cw_loopback": [2, 4], "cyclic_buff": [2, 4], "cyclic_buffer_except": [2, 4], "dds_loopback": [2, 4], "dds_two_ton": [2, 4], "dma_dac_zero": [2, 4], "dma_loopback": [2, 4], "dma_rx": [2, 4], "dma_tx": [2, 4], "gain_check": [2, 4], "hardwaregain": [2, 4, 23, 58, 78], "harmonic_v": [2, 4], "nco_loopback": [2, 4], "stress_context_cr": [2, 4], "stress_rx_buffer_cr": [2, 4], "stress_rx_buffer_length": [2, 4], "stress_tx_buffer_cr": [2, 4], "t_sfdr": [2, 4], "verify_overflow": [2, 4], "verify_underflow": [2, 4], "iio_attribute_single_valu": [2, 5], "iio_buffer_check": [2, 5], "discuss": 2, "correct": [2, 37, 40, 52, 85, 86, 87, 88, 101], "version": [2, 36, 39, 85, 134], "The": [2, 4, 8, 17, 23, 36, 37, 40, 52, 60, 99, 100, 129, 131, 134, 135, 136], "purpos": 2, "ani": [2, 37, 40, 60, 119, 134, 136], "discrep": 2, "aris": 2, "lint": 2, "tool": [2, 132, 137], "pollut": 2, "local": [2, 9, 33, 98, 99, 103, 118, 120, 132, 136], "global": [2, 134], "approach": [2, 37, 40], "upon": 2, "leverag": [2, 131], "togeth": 2, "system": [2, 3, 4, 5, 23, 37, 40, 60, 87, 88, 121, 131, 132, 134, 135], "distribut": [2, 3, 5, 134], "ship": 2, "rather": 2, "random": [2, 3, 5, 23], "u": 2, "exactli": 2, "want": [2, 23, 37, 40, 101, 132], "quick": [2, 135], "bash": 2, "script": 2, "curl": 2, "http": [2, 134], "shell": 2, "startup": 2, "bashrc": 2, "zshrc": 2, "export": [2, 134], "home": [2, 134], "usernam": [2, 42, 45, 49, 52, 55, 108], "bin": [2, 134], "eval": 2, "init": 2, "virtualenv": 2, "desir": [2, 4, 37, 40, 52, 60, 101], "6": [2, 23, 37, 40, 60, 101, 134], "env": 2, "pip3": 2, "git": [2, 134], "clone": [2, 134], "com": [2, 134], "analogdevicesinc": [2, 134], "r": [2, 60], "txt": 2, "requirements_dev": 2, "now": [2, 101], "necessari": [2, 36, 39, 136], "start": [2, 3, 5, 33, 60, 61, 128, 133, 135], "close": [2, 4, 85], "lose": 2, "go": [2, 128], "project": 2, "cd": [2, 134], "emu": 2, "physic": [2, 7, 60], "howev": [2, 37, 40, 136], "state": [2, 3, 36, 39, 52, 60, 85, 86, 102, 129, 131, 136], "equival": [2, 99], "librari": [2, 132, 133, 134], "essenti": 2, "spawn": 2, "uri": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 132, 133, 135], "mark": 2, "iio_hardwar": 2, "disabl": [2, 20, 23, 46, 51, 52, 60, 86, 95, 97, 99, 101, 109, 111, 128, 129, 131], "dure": [2, 4, 60, 98], "def": 2, "test_libiio_devic": 2, "iio_uri": 2, "ctx": [2, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 136], "page": [2, 135], "fixtur": [3, 4, 5], "evalu": [3, 4, 5, 23, 51, 111], "attr_test": 3, "classnam": [3, 4, 5], "attr": [3, 5, 136], "stop": [3, 5, 36, 128], "write": [3, 17, 23, 36, 37, 40, 65, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101, 131], "paramet": [3, 4, 5, 6, 8, 9, 37, 40, 60, 62, 63, 64, 79, 80, 86, 87, 88, 89, 99, 100, 112, 115, 127, 128, 131], "string": [3, 4, 5, 6, 37, 40, 60, 62, 63, 64, 79, 80, 86, 87, 88, 89, 99, 100, 101, 112, 114, 115, 127], "target": [3, 4, 5, 99, 132], "dict": [3, 4, 37, 40, 60], "lower": [3, 5, 23, 116], "bound": [3, 5], "upper": [3, 5, 23], "step": [3, 5, 23, 37, 40, 61, 95], "tol": [3, 5], "sub_channel": 3, "perform": [3, 4, 37, 40], "randomli": 3, "between": [3, 4, 5, 23, 36, 39, 100, 101, 131], "success": [3, 5], "allow": [3, 5, 23, 52, 60, 86, 128, 131, 132, 134], "compar": [3, 4, 5, 23, 60], "uniform": [3, 5], "sub": 3, "nest": 3, "sleep": [3, 136], "multipl": [3, 4, 6, 8, 9, 37, 40, 65, 89, 114, 131, 132], "loop": [3, 85], "where": [3, 4, 17, 60, 128, 129], "A": [3, 4, 23, 101, 131], "repeatedli": [3, 4], "device_nam": [3, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 20, 21, 25, 26, 27, 29, 30, 31, 32, 34, 64, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 84, 112, 119, 122, 123, 126], "specifi": [3, 4, 8, 9, 23, 65], "expect": [3, 4], "rais": 3, "first": [3, 4, 23, 85, 129, 131], "boolean": [3, 4, 5, 86, 87, 88, 89, 101], "val": [3, 6, 10, 11, 12, 13, 15, 16, 24, 25, 26, 27, 29, 30, 31, 34, 89, 121, 122, 123], "max_pow": 3, "power": [3, 14, 18, 23, 33, 36, 52, 60, 85, 97, 99], "largest": 3, "quantiti": 3, "step_siz": 3, "quantiz": 3, "stepsiz": 3, "quaniti": 3, "buffer": [4, 5, 6, 14, 36, 39, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 81, 89, 97, 101, 109, 131, 135], "dma_test": 4, "param_set": 4, "use_tx2": 4, "use_rx2": 4, "cw": 4, "loopback": [4, 36, 39, 51, 85, 111, 136], "cabl": 4, "onboard": [4, 98, 102], "recov": 4, "sinuoid": 4, "estim": 4, "side": [4, 52, 99, 131], "tone": [4, 23, 36, 39, 131], "max": [4, 114], "peak": 4, "tx2": [4, 52, 85, 86, 130], "rx2": [4, 40, 52, 85, 86, 130], "construct": [4, 5], "cyclic": [4, 36, 39], "verifi": 4, "twice": [4, 128], "reset": [4, 7, 36, 52, 60, 129], "caus": 4, "peak_min": 4, "use_ob": 4, "dd": [4, 37, 40, 133, 135, 136], "ddss": [4, 131, 136], "hz": [4, 7, 23, 36, 39, 46, 60, 64, 79, 131, 133], "rang": [4, 5, 6, 23, 30, 36, 46, 61, 89, 131, 133], "minimum": [4, 23], "accept": 4, "maximum": [4, 23, 36, 39, 101, 116], "dbf": [4, 52], "frequency1": [4, 131], "scale1": [4, 131], "peak_min1": 4, "frequency2": [4, 131], "scale2": [4, 131], "peak_min2": 4, "respect": [4, 60, 131], "digit": [4, 6, 7, 17, 37, 40, 51, 52, 57, 78, 80, 84, 85, 89, 111, 125], "ad936x": [4, 130], "core": [4, 136], "put": 4, "triangl": [4, 61], "wave": 4, "real1": 4, "real2": 4, "period": [4, 129], "delai": [4, 6, 52, 60, 61, 85, 89, 109, 129], "buffer_s": [4, 101], "32768": 4, "annot": [4, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "pull": 4, "15": [4, 36, 37, 40, 58, 60, 116], "10": [4, 8, 9, 17, 37, 40, 52, 60, 79, 116, 133], "dds_scale": [4, 131], "min_rssi": 4, "max_rssi": 4, "calcul": [4, 60, 101], "rssi": [4, 85], "applic": [4, 51, 52, 85, 86, 87, 88, 111, 131], "recept": 4, "hardwaregain_low": 4, "hardwaregain_high": 4, "hadwaregain": 4, "gain": [4, 17, 23, 24, 35, 36, 37, 39, 40, 51, 52, 55, 58, 60, 79, 85, 86, 87, 88, 101, 111, 136], "measur": [4, 22, 46, 52, 121], "hertz": [4, 89, 131], "less": [4, 23, 131], "low": [4, 14, 18, 23, 42, 58, 66, 80, 94, 96, 97], "plot": 4, "five": 4, "harmon": [4, 85], "interv": [4, 114], "thetransmit": 4, "nco": [4, 36, 39, 46, 85], "re": 4, "across": [4, 131], "sfdr_min": 4, "full_scal": 4, "sfdr": 4, "db": [4, 23, 52, 58, 101], "sample_r": [4, 14, 24, 42, 43, 44, 45, 46, 47, 51, 57, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 81, 82, 97, 98, 111, 116], "overflow": 4, "attrtyp": 5, "dev_nam": 5, "chan_nam": 5, "inout": 5, "numer": [5, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "associ": [5, 6, 23, 60, 86, 87, 88, 89, 136], "ignor": [5, 86, 87, 88], "under": [5, 102, 125], "otherwis": [5, 22, 36, 37, 39, 40, 86, 87, 88], "phy": [5, 37, 40, 109, 136], "rxdev": 5, "percent_fail": 5, "repe": 5, "pattern": 5, "fly": [5, 85], "scan": [5, 114], "percentag": 5, "primary_uri": [6, 89], "secondary_uri": [6, 89], "primary_jesd": [6, 89], "secondary_jesd": [6, 89], "adquadmxfexebz": 6, "multi": [6, 37, 38, 40, 89, 121, 134], "som": [6, 89, 134], "primari": [6, 89], "parent": [6, 60, 89], "hmc7044": [6, 89], "": [6, 23, 37, 40, 60, 65, 89, 98, 100, 101, 102, 116, 121, 136, 137], "secondari": [6, 89, 128], "jesd": [6, 36, 39, 86, 87, 88, 89, 130, 134], "hmc7044_cap_sel": [6, 89], "hmc7044_car_output_delai": [6, 89], "chan": [6, 89, 101], "analog_p": [6, 89], "adjust": [6, 23, 89, 101], "phase": [6, 36, 39, 60, 86, 87, 88, 89, 101, 131], "divid": [6, 89, 98, 99, 101, 116], "up": [6, 23, 58, 60, 89, 101, 131, 134, 135], "17": [6, 89], "half": [6, 89, 136], "cycl": [6, 23, 60, 89, 128, 129], "vco": [6, 62, 63, 64, 89, 101, 128], "analog": [6, 9, 17, 33, 42, 45, 49, 51, 52, 55, 57, 60, 85, 86, 87, 88, 89, 98, 99, 103, 108, 111, 118, 120, 134], "increment": [6, 89], "25": [6, 23, 89], "p": [6, 89], "100p": [6, 89], "700p": [6, 89], "hmc7044_ext_output_delai": [6, 89], "hmc7044_set_cap_sel": [6, 89], "forc": [6, 89, 100], "capacitor": [6, 89], "bank": [6, 36, 89], "select": [6, 23, 36, 49, 50, 52, 53, 54, 55, 56, 60, 89, 101, 108, 112], "typic": [6, 23, 60, 85, 89], "form": [6, 60, 89, 100], "reiniti": [6, 89], "child": [6, 89], "indx": [6, 89], "quadmxf": [6, 37, 40, 130], "sysref_request": [6, 89], "sysref": [6, 89], "request": [6, 89, 131, 137], "context_manag": [7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 67, 68, 75, 78, 79, 80, 81, 82, 83, 84, 85, 86, 90, 91, 92, 93, 94, 97, 100, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129], "resolv": 7, "excitation_frequ": [7, 22], "excit": [7, 22], "soft": 7, "so": [7, 17, 23, 101, 116, 136], "hysteresis_en": 7, "hysteresi": 7, "bit": [7, 14, 17, 37, 40, 45, 57, 59, 60, 101, 115, 127, 131], "regist": [7, 38, 60, 64, 68, 79, 80, 136], "modul": [8, 9, 14, 60, 87, 88, 130, 135], "ad3542r": 8, "constructor": [8, 9, 132], "e": [8, 9, 101], "g": [8, 9, 101], "anoth": [8, 9], "model": [8, 9, 52], "instanti": [8, 9, 60, 101], "5": [8, 9, 17, 23, 37, 40, 57, 60, 98, 101, 132, 133, 134], "222": [8, 9], "variant": 8, "30": [8, 17, 23, 36, 133], "ad4021": 9, "ad4022": 9, "ad4000": [9, 130], "ad4004": 9, "ad4008": 9, "ad4001": [9, 130], "ad4005": 9, "ad4002": [9, 130], "ad4006": 9, "ad4010": 9, "ad4003": [9, 130], "ad4007": 9, "ad4011": 9, "explicitli": 9, "adc": [9, 10, 11, 12, 13, 14, 15, 16, 17, 23, 24, 25, 26, 27, 28, 29, 30, 31, 33, 34, 35, 36, 38, 39, 41, 46, 48, 49, 50, 53, 54, 55, 56, 97, 98, 99, 101, 115, 122, 123, 126, 127, 131], "ip": [9, 17, 33, 37, 40, 98, 99, 103, 118, 120, 132, 133, 135, 136], "sampling_frequ": [9, 10, 16, 20, 21, 23, 33, 59, 83, 92, 116], "avg_filter_length": 10, "averag": [10, 14], "burst": 10, "avg_filter_length_avail": 10, "burst_sample_r": 10, "operating_mod": 10, "oper": [10, 23, 36, 39, 52, 60, 82, 83, 99, 101, 102, 109, 136], "operating_mode_avail": 10, "to_volt": [10, 11, 12, 13, 15, 16, 24, 25, 26, 27, 29, 30, 31, 34, 122, 123], "24": [14, 23, 65, 132, 133], "precis": [14, 67, 68, 75, 100], "sar": 14, "output_data_mod": 14, "sample_averag": 14, "30bit": 14, "sample_averaging_avail": 14, "adaq42xx": [14, 130], "adaq4224": 14, "acquisit": [14, 59, 108], "oversampling_ratio": [16, 30], "oversampl": 16, "ratio": [16, 101], "oversampling_ratio_avail": [16, 30], "packet_format": 16, "packet": 16, "format": [16, 60, 127], "packet_format_avail": 16, "ad5593r": 17, "spi": [17, 60, 85, 97], "i2c": [17, 65], "8": [17, 23, 33, 37, 40, 60, 65, 101, 106, 116, 134], "12": [17, 23, 37, 40, 45, 60, 65, 116], "confibur": 17, "gpio": [17, 36, 52, 127], "o": 17, "pin": [17, 52, 85, 97, 99, 127], "tree": [17, 60, 127], "correspond": [17, 60], "voltagex_adc": 17, "millivolt": [17, 115], "lsb": [17, 115], "scale_avail": [17, 24, 30, 55], "vref": [17, 120], "voltagex": 17, "voltagex_dac": 17, "1x": [17, 65], "2x": [17, 65], "1234": 17, "temp": 17, "temperatur": [17, 46, 60, 63, 79, 101, 102, 114, 121], "offset": [17, 52, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 79, 99], "celsiu": [17, 46, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 93, 114], "channel_adc": 17, "ctrl": [17, 99], "channel_nam": [17, 118, 120, 121], "voltag": [17, 18, 22, 60, 97, 98, 99, 100, 101, 103, 115, 125], "channel_dac": 17, "setter": 17, "channel_temp": 17, "access": [17, 38, 64, 68, 79, 80, 101, 127, 133, 135], "dac_0": 17, "dac_1": 17, "adc_0": 17, "temp_c": 17, "temp_0": 17, "dual": [18, 59, 111], "nanodac": 18, "apdbia": 18, "apd": 18, "bia": [18, 60, 97, 103], "tiltvoltag": 18, "tilt": 18, "device_index": [19, 24, 28, 81, 82, 114, 116, 118, 120], "all_chns_clear": 20, "all_chns_clear_avail": 20, "clamp_en": 20, "clamp_enable_avail": 20, "clear_set": 20, "clear_setting_avail": 20, "hw_ldac_trigg": 20, "hw_ldac_trigger_avail": 20, "int_ref_powerup": 20, "powerup": [20, 60], "int_ref_powerup_avail": 20, "oc_tsd": 20, "statu": [20, 36, 39, 52, 60, 61, 63, 97, 109], "oc_tsd_avail": 20, "sdo_dis": 20, "sdo": 20, "sdo_disable_avail": 20, "sw_ldac_trigg": 20, "sw_ldac_trigger_avail": 20, "tsd_enabl": 20, "tsd_en": 20, "tsd_enable_avail": 20, "powerdown_mod": 21, "config": 21, "powerdown_mode_avail": 21, "powedown": 21, "cdc": [22, 32], "excitation_amplitud": 22, "amplitud": [22, 95, 101, 131], "gpio1_toggl": 22, "gpio1": 22, "impedance_mod": 22, "imped": [22, 100], "comput": [22, 99], "magnitude_mod": 22, "magnitud": 22, "pair": [22, 23, 131], "imaginari": [22, 52], "result": 22, "wideband": [23, 62, 63, 64, 85], "IF": [23, 78, 79], "subsystem": 23, "adc_frequ": [23, 36, 39], "clock": [23, 66, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 93, 116, 128, 129], "maxim": 23, "bandwidth": [23, 51, 52, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 85, 86, 87, 88, 111], "reduc": [23, 36, 39], "consumpt": 23, "appropri": 23, "IFs": 23, "narrow": 23, "extern": [23, 52, 60, 129, 131], "synthes": [23, 52, 61, 62, 63, 64], "ghz": [23, 58, 61, 79, 80], "925": 23, "delta": [23, 33], "sigma": [23, 33], "technologi": 23, "rel": 23, "fraction": [23, 61], "achiev": 23, "lowest": 23, "nois": [23, 66, 96], "distort": [23, 58, 85], "005": 23, "05": [23, 52], "fadc": 23, "bw_margin_high": 23, "margin": 23, "shape": [23, 136], "profil": [23, 52, 66, 85, 86], "mai": [23, 65, 134], "increas": 23, "optim": 23, "bw_margin_if": 23, "displac": 23, "reson": 23, "f1shift": 23, "band": [23, 80], "center": [23, 80, 101], "widest": 23, "posit": [23, 60], "shift": [23, 97, 103], "densiti": 23, "edg": 23, "passband": 23, "bw_margin_low": 23, "50": 23, "ohm": 23, "attenu": [23, 37, 40, 51, 52, 60, 78, 84, 85, 86, 87, 88, 111], "programm": [23, 99], "dynam": [23, 36, 69, 74, 127], "somewhat": 23, "moder": 23, "expens": 23, "figur": 23, "27": 23, "00": 23, "1db": 23, "nomenclatur": 23, "express": 23, "neg": [23, 60], "intermediate_frequ": 23, "intermedi": 23, "tune": [23, 136], "70": 23, "450": 23, "inductor": 23, "chosen": 23, "ebz": 23, "includ": [23, 52, 60, 101, 131, 132, 134], "19": [23, 134], "nh": 23, "solder": 23, "full": [23, 36, 60, 61, 97, 99, 131, 134], "sp": 23, "decim": [23, 36, 38, 39, 52, 86], "factor": [23, 98, 99], "df": 23, "16": [23, 45, 52, 60, 101], "32": [23, 101], "jesd204b": 23, "One": [23, 127], "conveni": [23, 101], "highest": 23, "pin_0dbf": 23, "likewis": 23, "shuffler_control": 23, "reorder": 23, "break": 23, "spuriou": 23, "product": [23, 95, 136], "fix": [23, 85, 101, 134], "shuffl": 23, "experi": 23, "everi": [23, 136], "probabl": 23, "scheme": 23, "abl": [23, 132], "determinist": 23, "spur": 23, "tend": 23, "hump": 23, "spectrum": 23, "similarli": 23, "4": [23, 33, 37, 40, 60, 98, 101, 115, 120], "decreas": 23, "degrad": 23, "effect": [23, 85, 131], "closer": 23, "carrier": [23, 51, 52, 85, 86, 87, 88, 111], "fast": [23, 36], "shuffler_thresh": 23, "obtain": 23, "reduct": 23, "benefit": 23, "while": [23, 52, 60, 86], "retain": 23, "via": [23, 38, 64, 68, 79, 80, 101, 112], "threshold": 23, "5000": 23, "impli": 23, "alwai": [23, 60, 136], "test_mod": [23, 49, 50, 53, 54, 55, 56], "off": [23, 36, 39, 49, 50, 52, 53, 54, 55, 56, 108], "midscale_short": [23, 49, 50, 53, 54, 55, 56, 108], "pos_fullscal": [23, 49, 50, 53, 54, 55, 56, 108], "neg_fullscal": [23, 49, 50, 53, 54, 55, 56, 108], "checkerboard": [23, 49, 50, 53, 54, 55, 56, 108], "pn_long": [23, 49, 50, 53, 54, 55, 56, 108], "pn_short": [23, 49, 50, 53, 54, 55, 56, 108], "one_zero_toggl": [23, 49, 50, 53, 54, 55, 56, 108], "ramp": [23, 49, 50, 54, 55, 56, 61, 108], "ad7381": 29, "range_avail": 30, "simultan": [33, 131], "filter_typ": 33, "filter_type_avail": 33, "power_mod": 33, "power_mode_avail": 33, "sampling_frequency_avail": [33, 92], "ad7768_4": [33, 103, 130], "sync_start_en": 33, "sync": [33, 129, 131], "sync_start_enable_avail": 33, "sync_start": [36, 38, 39, 41, 42, 43, 44, 45, 47, 49, 52, 55, 56, 86], "mix": [36, 37, 39, 40, 98], "front": [36, 37, 39, 40, 51, 52, 79, 83, 85, 86, 87, 88, 111, 136], "end": [36, 37, 39, 40, 51, 52, 60, 79, 83, 85, 86, 87, 88, 111, 136], "mxfe": [36, 37, 39, 40], "api_vers": [36, 39, 85], "chip_vers": [36, 39], "chip": [36, 37, 39, 40, 46, 60], "inform": [36, 39, 101, 134], "dac_frequ": [36, 39], "jesd204_device_statu": [36, 39], "jesd204": [36, 39, 42, 86, 135], "jesd204_device_status_check": [36, 39], "condit": [36, 39, 102, 119], "detect": [36, 39, 119], "jesd204_fsm_ctrl": [36, 39, 86], "fsm": [36, 39, 86, 129], "jesd204_fsm_error": [36, 39, 86], "jesd204_fsm_paus": [36, 39, 86], "paus": [36, 39, 86], "jesd204_fsm_resum": [36, 39, 86], "resum": [36, 39, 86], "jesd204_fsm_stat": [36, 39, 86], "loopback_mod": [36, 39], "fifo": [36, 39], "entir": [36, 39, 60], "datasourc": [36, 39], "No": [36, 39], "correctli": [36, 39], "path_map": [36, 39], "coars": [36, 39], "fine": [36, 39], "pfilt_config": 36, "powerdown": [36, 119], "yy1nn0": 36, "oo": 36, "nnff": 36, "down": 36, "regul": [36, 99], "vdd": 36, "opposit": 36, "revers": [36, 52], "rx_channel_6db_digital_gain": 36, "6db": [36, 85], "fddc": [36, 37, 40], "rx_channel_nco_frequ": [36, 37, 39, 40], "ddc": [36, 39], "rx_channel_nco_phas": [36, 39], "rx_main_6db_digital_gain": 36, "cddc": [36, 37, 40], "rx_main_ffh_gpio_mode_en": 36, "hop": [36, 86, 87], "rx_main_ffh_mod": 36, "ffh": 36, "instantaneous_upd": 36, "synchronous_update_by_transfer_bit": 36, "synchronous_update_by_gpio": 36, "rx_main_ffh_trig_hop_en": 36, "trigger": [36, 61, 66, 69, 70, 71, 72, 73, 76, 77, 86, 87, 88, 99, 129, 131], "rx_main_nco_ffh_index": 36, "rx_main_nco_ffh_select": 36, "rx_main_nco_frequ": [36, 39], "rx_main_nco_phas": [36, 39], "rx_nyquist_zon": [36, 39], "nyquist": [36, 39], "zone": [36, 39], "odd": [36, 39], "rx_sample_r": [36, 38, 39, 52, 55, 85, 86, 87, 88], "rx_sampling_frequ": [36, 38, 39], "after": [36, 38, 39, 60, 97, 101], "rx_test_mod": [36, 39], "set_tx_dac_full_scale_curr": 36, "tx_dac_full_scale_curr": 36, "microamp": 36, "tx_channel_nco_frequ": [36, 39], "duc": [36, 39], "tx_channel_nco_gain_scal": [36, 39], "tx_channel_nco_phas": [36, 39], "tx_channel_nco_test_tone_en": [36, 39], "tx_channel_nco_test_tone_scal": [36, 39], "tx_dac_en": 36, "tx_ddr_offload": [36, 39], "ddr": [36, 39], "offload": [36, 39], "bram": [36, 39], "underflow": [36, 39], "due": [36, 39, 135], "memori": [36, 39, 60, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "bottleneck": [36, 39], "tx_main_ffh_frequ": 36, "tx_main_ffh_index": 36, "tx_main_ffh_gpio_mode_en": 36, "tx_main_ffh_mod": 36, "transit": 36, "phase_continu": 36, "phase_incontinu": 36, "phase_coher": 36, "tx_main_nco_ffh_select": 36, "tx_main_nco_frequ": [36, 39], "tx_main_nco_phas": [36, 39], "tx_main_nco_test_tone_en": [36, 39], "tx_main_nco_test_tone_scal": [36, 39], "tx_sampling_frequ": [36, 39], "interpol": [36, 39, 52], "write_pfilt_config": 36, "pfilt": 36, "pleas": [36, 85, 136], "limit": [36, 99, 136], "ad9081": [37, 130], "scalabl": [37, 40, 106], "cduc": [37, 40], "fduc": [37, 40], "arrang": [37, 40], "uniqu": [37, 40, 131], "almost": [37, 40], "slightli": [37, 40], "simpl": [37, 40, 132, 135], "observ": [37, 40, 52, 86], "someth": [37, 40, 136], "entri": [37, 40, 60], "axi": [37, 40, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 91, 92, 93, 136], "subset": [37, 40], "address": [37, 40, 60, 65, 132, 133, 135], "altern": [37, 40], "themselv": [37, 40], "dsp": [37, 40], "were": [37, 40], "aggreg": [37, 40], "_default_ctrl_nam": [37, 40], "7": [37, 40, 60, 101], "11": [37, 40, 60, 134], "13": [37, 40, 60, 61, 116], "calibration_board_attach": [37, 40], "quad": [37, 40, 41], "develop": [37, 40, 135], "rx_dsa_gain": [37, 40], "phy_dev_nam": [37, 40], "most": [37, 40, 102, 131], "speed": [38, 42, 43, 44, 47, 48, 49, 50, 53, 54, 55, 56, 101, 104, 105, 107, 108], "nco0_frequ": 38, "nco0": 38, "nco1_frequ": 38, "nco1": 38, "nco2_frequ": 38, "nco2": 38, "reg_read": [38, 64, 68, 79, 80, 136], "reg": [38, 64, 68, 79, 80], "debugf": [38, 64, 68, 79, 80], "reg_writ": [38, 64, 68, 79, 80, 136], "ad9084": [39, 40, 130], "rx1": [40, 52, 85, 86, 130], "rx3": 40, "hpc": 40, "triton": [40, 130], "password": [42, 45, 49, 52, 55, 108], "jesd204_status": [42, 45, 49, 52, 55, 108], "gsp": [45, 57], "rf": [45, 51, 57, 58, 61, 63, 78, 85, 111, 128], "fir85_en": [45, 46], "frequency_nco": 45, "fir85": 46, "ad916x": 46, "nco_en": 46, "32767": 46, "sample_rate_avail": 46, "temperature_c": 46, "calibr": [46, 52, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 85, 86, 87, 88, 95, 96, 101], "enter": 46, "ambient": 46, "temperature_cod": 46, "temperature_en": 46, "tx_enabl": [46, 60], "datapath": 46, "ad9364": [51, 130], "_dec_int_fpga_filt": 51, "plutosdr": 51, "platform": [51, 99, 100, 102], "gain_control_mode_chan1": [51, 85, 86], "rx_hardwaregain_chan1": [51, 52, 85, 86], "appli": [51, 52, 78, 85, 86, 87, 88, 89, 97, 101, 111], "gain_control_mod": [51, 52, 85, 86, 87, 88, 111, 133], "tx_hardwaregain_chan1": [51, 52, 85, 86], "ad9363": [51, 130], "fir": [51, 111], "rx_hardwaregain_chan0": [51, 52, 85, 86], "rx_lo": [51, 52, 133], "rx_rf_bandwidth": [51, 52, 85, 86, 87, 88, 133], "tx_hardwaregain_chan0": [51, 52, 85, 86], "tx_lo": [51, 52, 133], "tx_rf_bandwidth": [51, 52, 85, 86, 87, 88, 132], "disable_jesd_control": 52, "ensm_mod": [52, 86, 136], "machin": [52, 85, 86, 136], "radio_on": [52, 86], "radio_off": [52, 86], "hybrid": 52, "obs_gain_control_mod": 52, "ob": [52, 86], "sniffer": [52, 86], "obs_hardwaregain": [52, 86], "chan0": 52, "obs_quadrature_tracking_en": [52, 86], "quadratur": [52, 85, 86], "track": [52, 85, 86], "obs_rf_port_select": [52, 86], "snrx": 52, "orx1_tx_lo": 52, "orx1": [52, 86], "lo": [52, 79, 85, 89, 101, 136], "orx2_tx_lo": 52, "orx2": 52, "internalc": 52, "schedul": 52, "enabletrackingc": 52, "mask": 52, "later": 52, "command": [52, 132, 134], "issu": [52, 131, 136, 137], "move": 52, "whenev": 52, "cal": 52, "obs_sniff": 52, "latest": 52, "orx_mod": 52, "Then": 52, "mykonos_setsnifferchannel": 52, "choos": 52, "orx1_sn_lo": 52, "orx2_sn_lo": 52, "sn_a": 52, "snrxa": 52, "sn_b": 52, "snrxb": 52, "sn_c": 52, "snrxc": 52, "obs_temp_comp_gain": 52, "orx_sample_r": [52, 86], "orx": [52, 86], "reflect": [52, 79, 86], "8x": [52, 86], "rx_enable_dec8": 52, "x8": 52, "rx_hardwaregain": [52, 85, 86, 87, 88, 133], "rx_quadrature_tracking_en_chan0": [52, 86], "rx_quadrature_tracking_en_chan1": [52, 86], "rx_temp_comp_gain_chan0": 52, "rx_temp_comp_gain_chan1": 52, "sn_lo": 52, "tx_enable_int8": 52, "tx_hardwaregain": [52, 85, 86, 87, 88, 133], "tx_quadrature_tracking_en_chan0": [52, 86], "tx1": [52, 85, 86, 130], "tx_quadrature_tracking_en_chan1": [52, 86], "ad9375": [52, 130], "tx_clgc_current_gain_chan0": 52, "tx_clgc_current_gain_chan1": 52, "tx_clgc_current_gain": 52, "100th": 52, "gaindb": 52, "currentgain": 52, "100": [52, 78], "tx_clgc_desired_gain_chan0": 52, "tx_clgc_desired_gain": 52, "desired_gain": 52, "tx_clgc_desired_gain_chan1": 52, "tx_clgc_orx_rms_chan0": 52, "tx_clgc_orx_rm": 52, "rm": 52, "dpd": 52, "block": [52, 97], "resolut": [52, 101], "01": 52, "prm": 52, "orxrm": 52, "tx_clgc_track_count_chan0": 52, "tx_clgc_track_count": 52, "clgc": 52, "successfulli": 52, "initi": [52, 60, 101, 129, 136], "tx_clgc_track_count_chan1": 52, "tx_clgc_tracking_en_chan0": 52, "tx_clgc_tracking_en_chan1": 52, "tx_clgc_tx_gain_chan0": 52, "tx_clgc_tx_gain": 52, "tx_attenu": [52, 60], "tx_gain": [52, 60, 101], "200": 52, "tx_clgc_tx_gain_chan1": 52, "tx_clgc_tx_rms_chan0": 52, "tx_clgc_tx_rm": 52, "actuat": 52, "txrm": 52, "tx_clgc_tx_rms_chan1": 52, "tx_dpd_actuator_en_chan0": 52, "tx_dpd_actuator_en_chan1": 52, "tx_dpd_external_path_delay_chan0": 52, "tx_dpd_external_path_delai": 52, "tx_dpd_external_path_delay_chan1": 52, "tx_dpd_model_error_chan0": 52, "tx_dpd_model_error": 52, "percent": 52, "pa": [52, 60, 85], "place": [52, 60], "tx_dpd_model_error_chan1": 52, "tx_dpd_reset_en_chan0": 52, "tx_dpd_reset_en_chan1": 52, "tx_dpd_status_chan0": 52, "tx_dpd_statu": 52, "arm": [52, 131], "processor": 52, "tx_dpd_status_chan1": 52, "tx_dpd_track_count_chan0": 52, "tx_dpd_track_count": 52, "tx_dpd_track_count_chan1": 52, "tx_dpd_tracking_en_chan0": 52, "tx_dpd_tracking_en_chan1": 52, "tx_vswr_forward_gain_chan0": 52, "tx_vswr_forward": 52, "forward": [52, 79], "tx_vswr_forward_gain_chan1": 52, "tx_vswr_forward_gain_imag_chan0": 52, "tx_vswr_forward_gain_imag": 52, "linear": 52, "tx_vswr_forward_gain_imag_chan1": 52, "tx_vswr_forward_gain_real_chan0": 52, "tx_vswr_forward_gain_r": 52, "tx_vswr_forward_gain_real_chan1": 52, "tx_vswr_forward_orx_chan0": 52, "tx_vswr_forward_orx": 52, "21": [52, 134], "tx_vswr_forward_tx_chan0": 52, "tx_vswr_forward_tx": 52, "tx_vswr_forward_tx_chan1": 52, "tx_vswr_reflected_gain_chan0": 52, "tx_vswr_reflected_gain": 52, "tx_vswr_reflected_gain_chan1": 52, "tx_vswr_reflected_gain_imag_chan0": 52, "tx_vswr_reflected_gain_imag": 52, "tx_vswr_reflected_gain_imag_chan1": 52, "tx_vswr_reflected_gain_real_chan0": 52, "tx_vswr_reflected_gain_r": 52, "tx_vswr_reflected_gain_real_chan1": 52, "tx_vswr_reflected_orx_chan0": 52, "tx_vswr_reflected_orx": 52, "tx_vswr_reflected_tx_chan0": 52, "tx_vswr_reflected_tx": 52, "tx_vswr_reflected_tx_chan1": 52, "tx_vswr_track_count_chan0": 52, "tx_vswr_track_count": 52, "vswr": 52, "tx_vswr_track_count_chan1": 52, "tx_vswr_tracking_en_chan0": 52, "tx_vswr_tracking_en_chan1": 52, "dga": 58, "105msp": 59, "umodul": 59, "solut": 59, "chip_id": 60, "csb1_chip1": 60, "device_numb": 60, "array_element_map": 60, "channel_element_map": 60, "beamform": [60, 101], "don": [60, 128], "adar1000_arrai": [60, 101, 130], "instanc": [60, 101, 131], "identifi": [60, 127], "id": [60, 136], "leav": 60, "long": 60, "match": 60, "indic": [60, 132], "locat": [60, 134], "repres": [60, 65], "row": 60, "referenc": 60, "4x4": 60, "whatev": 60, "1x4": 60, "2x2": 60, "4x1": 60, "etc": [60, 101, 116], "larger": 60, "adar1000_channel": 60, "adar_par": 60, "adar_channel": 60, "array_el": 60, "column": 60, "meant": 60, "own": 60, "adar1000_par": 60, "array_element_numb": 60, "detector_pow": 60, "detector": 60, "pa_bias_off": 60, "pa_bias_on": 60, "rx_attenu": 60, "rx_beam_stat": 60, "beam": [60, 101], "ram": [60, 101], "120": [60, 116], "rx_enabl": 60, "rx_gain": [60, 101], "rx_phase": 60, "save_rx_beam": 60, "save": [60, 101], "mean": [60, 100, 128, 129], "127": [60, 101], "save_tx_beam": 60, "tx_beam_stat": 60, "tx_phase": 60, "array_device_numb": 60, "beam_mem_en": 60, "v": [60, 99], "bias_dac_en": 60, "bias_dac_mod": 60, "bias_ctrl": 60, "0x30": 60, "whether": [60, 65], "stai": 60, "ON": [60, 134], "toggl": [60, 101], "bias_mem_en": 60, "channel1": 60, "channel2": 60, "channel3": 60, "channel4": [60, 109], "csb": 60, "Of": 60, "csbx_chipx": 60, "csbx": 60, "line": [60, 65, 132, 135], "ic": 60, "chipx": 60, "common_mem_en": 60, "chx_ram_bypass": 60, "0x39": 60, "0x3a": 60, "0x3d": 60, "0x44": 60, "common_rx_beam_st": 60, "common_tx_beam_st": 60, "external_tr_pin": 60, "switch": [60, 65, 101], "tr_sw_po": 60, "tr_sw_neg": 60, "external_tr_polar": 60, "polar": 60, "0v": 60, "3v": 60, "5v": 60, "generate_clock": 60, "clk": [60, 61, 136], "rx_load": 60, "tx_load": 60, "pa_off": 60, "pa_on": 60, "lna_off": 60, "lna_on": 60, "suggest": 60, "routin": 60, "lna_bias_off": 60, "lna_bias_on": 60, "latch_rx_set": 60, "latch": [60, 65], "latch_tx_set": 60, "lna_bias_out_en": 60, "lna": 60, "self": [60, 85, 131], "bias": [60, 97], "pol_stat": 60, "pol_switch_en": 60, "rx_bias_stat": 60, "rx_lna_bias_curr": 60, "rx_lna_en": 60, "rx_sequencer_start": 60, "sequenc": [60, 100, 109, 131], "rx_sequencer_stop": 60, "rx_to_tx_delay_1": 60, "rx_to_tx_delay_2": 60, "rx_vga_en": 60, "vga": [60, 78], "rx_vga_vm_bias_curr": 60, "rx_vm_enabl": 60, "save_rx_bia": 60, "save_tx_bia": 60, "pa1_bias_off": 60, "pa2_bias_off": 60, "pa3_bias_off": 60, "pa4_bias_off": 60, "pa1_bias_on": 60, "pa2_bias_on": 60, "pa3_bias_on": 60, "pa4_bias_on": 60, "tx_vga_vm_bias_curr": 60, "tx_pa_bias_curr": 60, "tx_lna_bias_curr": 60, "sequencer_en": 60, "tr_sourc": 60, "tr": [60, 101], "tr_spi": 60, "tr_switch_en": 60, "tx_bias_stat": 60, "tx_pa_en": 60, "tx_sequencer_start": 60, "tx_sequencer_stop": 60, "tx_to_rx_delay_1": 60, "tx_to_rx_delay_2": 60, "tx_vga_en": 60, "tx_vm_enabl": 60, "device_map": 60, "element_map": 60, "device_element_map": 60, "coincid": 60, "becaus": 60, "four": [60, 88, 108, 128, 131], "wide": 60, "tall": 60, "squar": [60, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "all_rx_attenu": 60, "all_rx_gain": 60, "all_rx_phas": 60, "all_tx_attenu": 60, "all_tx_gain": 60, "all_tx_phas": 60, "calculate_phi": 60, "azimuth": 60, "elev": 60, "\u03c6": 60, "angl": [60, 101], "steer": 60, "particular": 60, "horizont": 60, "vertic": 60, "element_spac": [60, 101], "space": [60, 101], "sort": 60, "initialize_devic": 60, "rx_azimuth": 60, "rx_azimuth_phi": 60, "phi": 60, "rx_elev": 60, "rx_elevation_phi": 60, "steer_rx": 60, "steer_tx": 60, "tx_azimuth": 60, "tx_azimuth_phi": 60, "tx_elev": 60, "tx_elevation_phi": 60, "clk1_div_valu": 61, "pll": 61, "deviat": 61, "clk1_mode": 61, "1st": 61, "clk2_div_valu": 61, "delay_clk": 61, "delay_start_en": 61, "delay_word": 61, "word": 61, "freq_dev_rang": 61, "freq_dev_step": 61, "freq_dev_tim": 61, "muxout_sel": 61, "phase_valu": 61, "ramp_delay_en": 61, "ramp_en": 61, "ramp_mod": 61, "sing_ful_tri": 61, "trig_delay_en": 61, "txdata": 61, "tx_trig_en": 61, "microwav": [62, 63, 64], "integr": [62, 63, 64, 79, 101], "frequency_altvolt0": 62, "frequency_altvolt1": 62, "powerdown_altvolt0": 62, "powerdown_altvolt1": 62, "muxout_mod": 63, "mux": [63, 112, 119], "rf16_enabl": 63, "16ghz": 63, "rf16_frequenc": 63, "rf32_enabl": 63, "32ghz": 63, "rf32_frequenc": 63, "rf8_enabl": 63, "8ghz": 63, "rf8_frequenc": 63, "rfaux8_en": 63, "auxiliari": 63, "rfaux8_frequ": 63, "cross": 65, "addr": 65, "ad": 65, "y": [65, 66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "termin": [65, 99, 134], "matrix": 65, "bu": 65, "immedi": 65, "ldsw": 65, "datasheet": 65, "open_al": 65, "open": 65, "iter": 65, "combin": [65, 85, 99, 100], "yline": [65, 130], "trigger_nam": [66, 69, 70, 71, 72, 73, 74, 75, 76, 77], "adis16xxx": [66, 70, 72, 73, 74], "six": [66, 67, 68, 70, 72, 73, 76, 77], "freedom": [66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "inerti": [66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77], "sensor": [66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 83, 97, 102, 103, 114, 121], "dev0": [66, 69, 70, 71, 72, 73, 75, 76, 77], "accel_x_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "acceleromet": [66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 91, 92, 93, 96], "accel_x_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "accel_x_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "accel_x_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "accel_y_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "accel_y_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "calibcal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "accel_y_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "accel_y_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "accel_z_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "z": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "accel_z_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "accel_z_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "accel_z_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_x_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "gyroscop": [66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 94], "anglvel_x_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_x_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "radian": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_x_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_y_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_y_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_y_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_y_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_z_calibbia": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_z_calibscal": [66, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_z_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "anglvel_z_filter_low_pass_3db_frequ": [66, 69, 70, 71, 72, 73, 74, 76, 77], "compatible_part": [66, 69, 70, 71, 72, 73, 74, 76, 77], "current_timestamp_clock": [66, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 93], "timestamp": [66, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 93], "deltaangl_x_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "deltaangl_y_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "deltaangl_z_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "deltavelocity_x_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "deltavelocity_y_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "deltavelocity_z_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "disable_trigg": [66, 69, 70, 71, 72, 73, 74, 76, 77], "firmware_d": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "factori": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "date": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 134], "firmware_revis": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "firmwar": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 101], "revis": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 134], "flash_count": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "flash": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "count": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 100], "get_accel_x": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_accel_i": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_accel_z": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_anglvel_x": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_anglvel_i": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_anglvel_z": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltaangl_x": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltaangl_i": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltaangl_z": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltavelocity_x": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltavelocity_i": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_deltavelocity_z": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "get_temp": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "millidegre": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 114, 131], "product_id": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "portion": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "serial_numb": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "lot": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "serial": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77, 115, 132], "temp_conv": [66, 68, 69, 70, 71, 72, 73, 74, 76, 77], "compact": [67, 68], "adis16505": 68, "filter_low_pass_3db_frequ": [68, 75], "adis16xxx_with_mag": [69, 71], "ten": [69, 71, 74], "orient": [69, 74], "get_magn_x": [69, 71], "get_magn_i": [69, 71], "get_magn_z": [69, 71], "get_pressur": [69, 71], "kilo": [69, 71], "pascal": [69, 71], "magn_x_calibbia": [69, 71], "magnetomet": [69, 71], "magn_x_conv": [69, 71], "magn_x_filter_low_pass_3db_frequ": [69, 71], "magn_y_calibbia": [69, 71], "magn_y_conv": [69, 71], "magn_y_filter_low_pass_3db_frequ": [69, 71], "magn_z_calibbia": [69, 71], "magn_z_conv": [69, 71], "magn_z_filter_low_pass_3db_frequ": [69, 71], "pressure_calibbia": [69, 71], "pressur": [69, 71], "pressure_conv": [69, 71], "tactic": [70, 71, 72, 73, 76, 77], "grade": [70, 71, 72, 73, 76, 77], "mem": [70, 75, 96], "compat": [73, 74, 76, 77, 114], "imu_dev_nam": 75, "miniatur": 75, "adis16xxx_with_delta_angl": [76, 77], "TO": 78, "4000": [78, 97], "20": 79, "network": [79, 99, 106, 133], "analyz": [79, 106], "forward_gain": 79, "if_filter_cutoff": 79, "lpf": 79, "3db": [79, 80, 94], "cutoff": 79, "cif1": 79, "cif2": 79, "if_frequ": 79, "lo_frequ": 79, "lo_mod": 79, "lo_mode_avail": 79, "offset_frequ": 79, "offset_mod": 79, "offset_mode_avail": 79, "reflected_gain": 79, "c": [79, 101, 134], "18": [80, 116, 134], "tunabl": 80, "band_pass_bandwidth_3db_frequ": 80, "band_pass_center_frequ": 80, "high_pass_3db_frequ": 80, "low_pass_3db_frequ": 80, "mode_avail": 80, "photo": [81, 82], "electron": [81, 82], "multimod": 83, "last_timeslot": 83, "timeslot": 83, "operation_mod": 83, "voltage0": 84, "agc_tracking_en_chan0": 85, "agc_tracking_en_chan1": 85, "atten_control_mode_chan0": 85, "bypass": [85, 101], "atten_control_mode_chan1": 85, "bbdc_rejection_tracking_en_chan0": 85, "baseband": 85, "dc": 85, "reject": 85, "bbdc_rejection_tracking_en_chan1": 85, "close_loop_gain_tracking_en_chan0": 85, "close_loop_gain_tracking_en_chan1": 85, "digital_gain_control_mode_chan0": 85, "digital_gain_control_mode_chan1": 85, "hd_tracking_en_chan0": 85, "hd_tracking_en_chan1": 85, "interface_gain_chan0": 85, "stage": 85, "18db": 85, "12db": 85, "0db": 85, "24db": 85, "30db": 85, "36db": 85, "interface_gain_chan1": 85, "lo_leakage_tracking_en_chan0": 85, "leakag": 85, "lo_leakage_tracking_en_chan1": 85, "loopback_delay_tracking_en_chan0": 85, "loopback_delay_tracking_en_chan1": 85, "pa_correction_tracking_en_chan0": 85, "pa_correction_tracking_en_chan1": 85, "quadrature_fic_tracking_en_chan0": 85, "narrowband": 85, "fic": 85, "quadrature_fic_tracking_en_chan1": 85, "quadrature_tracking_en_chan0": 85, "quadrature_tracking_en_chan1": 85, "quadrature_w_poly_tracking_en_chan0": 85, "poli": 85, "quadrature_w_poly_tracking_en_chan1": 85, "rfdc_tracking_en_chan0": 85, "rfdc_tracking_en_chan1": 85, "rssi_tracking_en_chan0": 85, "rssi_tracking_en_chan1": 85, "rx0_en": 85, "rx0_lo": 85, "rx0_nco_frequ": 85, "rx0_port_en": 85, "port": [85, 106, 128], "rx0_rf_bandwidth": 85, "rx0_sample_r": 85, "rx1_en": 85, "rx1_lo": 85, "rx1_nco_frequ": 85, "rx1_port_en": 85, "rx1_rf_bandwidth": 85, "rx1_sample_r": 85, "rx_dma_mod": 85, "split": 85, "separ": 85, "rx_ensm_mode_chan0": 85, "prime": 85, "rf_enabl": 85, "rx_ensm_mode_chan1": 85, "tx0_en": 85, "tx0_lo": 85, "tx1_lo": 85, "tx0_nco_frequ": 85, "tx0_port_en": 85, "tx0_rf_bandwidth": 85, "tx0_sample_r": 85, "tx1_en": 85, "tx1_nco_frequ": 85, "tx1_port_en": 85, "tx1_rf_bandwidth": 85, "tx1_sample_r": 85, "tx_dma_mod": 85, "tx_ensm_mode_chan0": 85, "tx_ensm_mode_chan1": 85, "write_profil": 85, "write_stream": 85, "becom": 85, "activ": [85, 97, 134], "accompani": 85, "write_stream_profil": 85, "jesd_monitor": [86, 87, 88], "monitor": [86, 87, 88, 99, 101], "aux_obs_lo": 86, "calibrate_rx_phase_correction_en": [86, 87, 88], "calibrate_rx_qec_en": 86, "qec": [86, 87, 88], "calibrate_tx_qec_en": 86, "frequency_hopping_mod": 86, "frequency_hopping_mode_en": [86, 87], "obs_powerdown_en": 86, "ensm": 86, "obs_tx_lo": 86, "obs_aux_lo": 86, "rx_powerdown_en_chan0": 86, "rx_powerdown_en_chan1": 86, "trx_lo": [86, 87, 88], "zu11eg": [87, 88, 89, 134], "On": [87, 88, 134, 136], "calibrate_chip_b": 87, "calibrate_rx_phase_correction_en_chip_b": 87, "calibrate_rx_qec_en_chip_b": 87, "calibrate_tx_qec_en_chip_b": 87, "frequency_hopping_mode_chip_b": 87, "frequency_hopping_mode_en_chip_b": 87, "gain_control_mode_chan0_chip_b": 87, "gain_control_mode_chan1_chip_b": 87, "mcs_chip": [87, 88], "mc": [87, 88], "synchron": [87, 88], "rx_hardwaregain_chan0_chip_b": 87, "rx_hardwaregain_chan1_chip_b": 87, "rx_rf_bandwidth_chip_b": [87, 111], "rx_sample_rate_chip_b": 87, "trx_lo_chip_b": 87, "tx_hardwaregain_chan0_chip_b": 87, "tx_hardwaregain_chan1_chip_b": 87, "tx_rf_bandwidth_chip_b": [87, 111], "tx_sample_rate_chip_b": 87, "adrv9009_zu11eg": [88, 89, 130], "fmcomms8": [88, 89], "calibrate_chip_c": 88, "calibrate_chip_d": 88, "calibrate_rx_phase_correction_en_chip_c": 88, "calibrate_rx_phase_correction_en_chip_d": 88, "calibrate_rx_qec_en_chip_c": 88, "calibrate_rx_qec_en_chip_d": 88, "calibrate_tx_qec_en_chip_c": 88, "calibrate_tx_qec_en_chip_d": 88, "gain_control_mode_chan0_chip_c": 88, "gain_control_mode_chan0_chip_d": 88, "gain_control_mode_chan1_chip_c": 88, "gain_control_mode_chan1_chip_d": 88, "rx_hardwaregain_chan0_chip_c": 88, "rx_hardwaregain_chan0_chip_d": 88, "rx_hardwaregain_chan1_chip_c": 88, "rx_hardwaregain_chan1_chip_d": 88, "rx_rf_bandwidth_chip_c": 88, "rx_rf_bandwidth_chip_d": 88, "rx_sample_rate_chip_c": 88, "rx_sample_rate_chip_d": 88, "trx_lo_chip_c": 88, "trx_lo_chip_d": 88, "tx_hardwaregain_chan0_chip_c": 88, "tx_hardwaregain_chan0_chip_d": 88, "tx_hardwaregain_chan1_chip_c": 88, "tx_hardwaregain_chan1_chip_d": 88, "tx_rf_bandwidth_chip_c": 88, "tx_rf_bandwidth_chip_d": 88, "tx_sample_rate_chip_c": 88, "tx_sample_rate_chip_d": 88, "idenifi": 89, "attach": [89, 101], "set_trx_framer_a_loopback": 89, "bist_framer_a_loopback": 89, "set_trx_lo_frequ": 89, "freq": 89, "to_degre": [93, 114], "hpf_3db_frequenc": 94, "hpf_3db_frequency_avail": 94, "lpf_3db_frequenc": 94, "lpf_3db_frequency_avail": 94, "ad9166": [95, 130], "raspberri": [95, 98, 101, 135], "hat": [95, 98], "amp_en": 95, "amplifi": [95, 97, 126], "amplitude_c": 95, "noth": 95, "happen": 95, "board_calibr": 95, "wa": 95, "calibrated_output": 95, "desired_output_amplitude_in_dbm": 95, "desired_output_frequency_in_hz": 95, "trim_frequency_raw": 95, "modifi": 95, "cn0540": [96, 130], "adxl1002": 96, "50g": 96, "cbm": [97, 103], "daq": [97, 103], "fda_disable_statu": 97, "fda_mod": 97, "input_voltag": 97, "mv": [97, 103], "monitor_powerup": 97, "shutdown": 97, "ti": 97, "red_led_en": 97, "red": 97, "led": [97, 102], "256000": 97, "128000": 97, "64000": 97, "32000": 97, "16000": 97, "8000": 97, "2000": 97, "1000": [97, 133], "sensor_voltag": 97, "shift_voltag": [97, 103], "ltc2606": 97, "sw_cc": 97, "illumin": 97, "blue": 97, "sw_ff_statu": 97, "fault": [97, 99, 119, 125], "reset_buff": [97, 130], "func": 97, "wrapper": 97, "engin": [97, 128, 129], "forev": 97, "adc_in_channel": 98, "dac_out_channel": 98, "deriv": [98, 99], "ltc2688": [98, 130], "convert_to_volt": 98, "in_voltag": 98, "header": 98, "out_refer": 98, "096": [98, 120], "in_channel": 98, "in_scal": 98, "unitless": 98, "resistor": [98, 99], "out_channel": 98, "datapoint": 98, "ad7124": [98, 130], "cn0554": [99, 130], "inherit": [99, 100, 101], "one_bit_adc_dac": [99, 130], "buck": 99, "boost": 99, "lt8228": 99, "sink": 99, "suppli": 99, "boost_input_curr": 99, "v2": 99, "imon2": 99, "node": 99, "boost_input_current_limit": 99, "iset2n": 99, "boost_input_undervoltag": 99, "undervoltag": 99, "uv2": 99, "boost_input_voltag": 99, "boost_output_curr": 99, "v1": 99, "imon1": 99, "boost_output_current_limit": 99, "iset1n": 99, "boost_output_voltag": 99, "boost_target_output_voltag": 99, "fb1": 99, "buck_input_curr": 99, "buck_input_current_limit": 99, "iset1p": 99, "buck_input_undervoltag": 99, "uv1": 99, "buck_input_voltag": 99, "buck_output_curr": 99, "buck_output_current_limit": 99, "iset2p": 99, "buck_output_voltag": 99, "buck_target_output_voltag": 99, "fb2": 99, "drxn": 99, "present": 99, "intvcc_voltag": 99, "intvcc": 99, "read_valu": 99, "ctrl_name": 99, "formula": 99, "feedback": 99, "report": [99, 136], "set_valu": 99, "val_min": 99, "val_max": 99, "unit": [99, 101, 121], "share_voltag": 99, "ad5940": [100, 130], "adg2128": [100, 130], "electrochem": 100, "frontend": [100, 106], "arbitrari": [100, 131], "assign": 100, "sens": [100, 126], "electrod": 100, "electr": 100, "tomographi": 100, "all_voltag": 100, "electrode_count": 100, "electrode_count_avail": 100, "force_dist": 100, "adjac": [100, 101], "sense_dist": 100, "switch_sequ": 100, "_chip_id": 101, "beam0": 101, "beam1": 101, "_device_map": 101, "_element_map": 101, "_device_element_map": 101, "verbos": 101, "adf4159": [101, 130], "set_beam_phase_diff": 101, "_gpio": 101, "ad7291": [101, 130], "2t2r": 101, "phaser": 101, "extra": 101, "sdr_init": 101, "sampler": 101, "tx_freq": 101, "rx_freq": 101, "rev": 101, "set_rx_hardwaregain": 101, "what": 101, "show": 101, "connector": 101, "indirectli": 101, "299792458": 101, "light": 101, "ccal": 101, "compens": 101, "device_mod": 101, "futur": 101, "015": 101, "antenna": 101, "gcal": 101, "abov": 101, "adar1000": [101, 130], "account": 101, "ahead": 101, "rfin": 101, "load_channel_c": 101, "filenam": 101, "channel_cal_v": 101, "pkl": 101, "load_gain_c": 101, "gain_cal_v": 101, "load_phase_c": 101, "phase_cal_v": 101, "num_el": 101, "pcal": 101, "phase_step_s": 101, "8125": 101, "read_monitor": 101, "deg": 101, "save_channel_c": 101, "save_gain_c": 101, "save_phase_c": 101, "set_all_gain": 101, "apply_c": 101, "ph_diff": 101, "ph": 101, "diff": 101, "b": 101, "w": 101, "public": 101, "sweep": 101, "180": 101, "lobe": 101, "append": 101, "acc": 101, "structur": [101, 136], "mention": 101, "set_chan_gain": 101, "chan_no": 101, "gain_val": 101, "setl": 101, "individua": 101, "whose": 101, "hex": [101, 136], "set_chan_phas": 101, "phase_v": 101, "took": 101, "quotient": 101, "num": 101, "div": 101, "overal": 101, "minu": 101, "6th": 101, "2nd": 101, "set_tx_sw_div": 101, "div_ratio": 101, "tx_out": 101, "gpio_tx_sw": 101, "64": 101, "128": 101, "pushbutton": 102, "cpu": 102, "higher": 102, "button": 102, "multichannel": 103, "iep": 103, "cc_ch0": 103, "cc_ch1": 103, "cc_ch2": 103, "cc_ch3": 103, "shift_voltage0": 103, "ad5696": 103, "shift_voltage1": 103, "shift_voltage2": 103, "shift_voltage3": 103, "ad9144": [104, 130], "ad9680": [104, 105, 130], "aquist": [104, 105, 107], "ad9152": [105, 130], "fmcvna": [106, 130], "adrf5720": [106, 130], "ad9083": [106, 130], "admv8818": [106, 130], "genmux": [106, 112, 130], "adf5610": [106, 130], "adl5960": [106, 130], "ad9625": [107, 110, 130], "ada4961": [107, 130], "ad9250": [108, 130], "fmc": 108, "test_mode_chan0": 108, "test_mode_chan1": 108, "pulse_capture_address": 109, "7c700000": 109, "ad5627": [109, 130], "ad9094": [109, 130], "channel_sequencer_enable_dis": 109, "channel_sequencer_opmod": 109, "channel_sequencer_order_auto_mod": 109, "auto": [109, 114], "channel_sequencer_order_manual_mod": 109, "laser_dis": 109, "laser_en": 109, "relev": 109, "sequencer_pulse_delai": 109, "nanosecond": 109, "set_all_iio_attrs_to_default_valu": 109, "reason": 109, "ad9162": [110, 130], "gain_control_mode_chip_b_chan0": 111, "gain_control_mode_chip_b_chan1": 111, "loopback_chip_b": 111, "rx_hardwaregain_chip_b_chan0": 111, "rx_hardwaregain_chip_b_chan1": 111, "rx_lo_chip_b": 111, "tx_hardwaregain_chip_b_chan0": 111, "tx_hardwaregain_chip_b_chan1": 111, "tx_lo_chip_b": 111, "gen": 112, "select_avail": 112, "shim": 113, "max_hyst": 114, "to_millidegre": 114, "update_interv": 114, "ltc2314": 115, "5msp": 115, "lsb_mv": 115, "famili": 116, "15000000": 116, "actual": 116, "master": 116, "cn0577": 116, "msp": 116, "333": 116, "ordereddict": [117, 121], "all_chns_curr": 119, "ma": 119, "all_chns_powerdown": 119, "all_chns_powerdown_avail": 119, "all_chns_raw": 119, "all_chns_span": 119, "span": 119, "all_chns_span_avail": 119, "fault_detect": 119, "fault_detect_avail": 119, "mux_avail": 119, "thermocoupl": 124, "rtd": 125, "samp_avail": 125, "ad611": 126, "well": 127, "lowercas": 127, "gpio_": 127, "burst_count": [128, 129], "amount": [128, 129, 135], "frame": [128, 129], "255": 128, "counter_int": 128, "counter_init": 128, "counter": [128, 129], "dma_gateing_mod": 128, "dp": 128, "gate": 128, "en": 128, "en_mod": 128, "frame_length_m": [128, 129], "frame_length_raw": [128, 129], "rx_dma_m": 128, "primary_on": 128, "primary_off": 128, "secondary_on": 128, "secondary_off": 128, "rx_dma_raw": 128, "rx_rf_m": 128, "rx_rf_raw": 128, "rx_vco_m": 128, "rx_vco_raw": 128, "tx_dma_m": 128, "tx_dma_raw": 128, "tx_rf_m": 128, "tx_rf_raw": 128, "tx_vco_m": 128, "tx_vco_raw": 128, "tdd": [129, 130], "internal_sync_period_m": 129, "internal_sync_period_raw": 129, "startup_delay_m": 129, "startup_delay_raw": 129, "sync_extern": 129, "sync_intern": 129, "sync_reset": 129, "event": [129, 131], "sync_soft": 129, "softwar": [129, 137], "quadmxfe_multi": 130, "ad2s1210": 130, "ad3552r": 130, "ad4020": 130, "ad405x": 130, "ad4110": 130, "ad4130": 130, "ad4170": 130, "ad4630": 130, "ad469x": 130, "ad5592r": 130, "ad5686": 130, "ad579x": 130, "ad5754r": 130, "ad6676": 130, "ad7134": 130, "ad717x": 130, "ad719x": 130, "ad738x": 130, "ad7606": 130, "ad7689": 130, "ad7746": 130, "ad7768": 130, "ad777x": 130, "ad7799": 130, "ad9081_mc": 130, "ad9084_mc": 130, "ad9136": 130, "ad9172": 130, "ad9213": 130, "ad9265": 130, "ad937x": 130, "ad9434": 130, "ad9467": 130, "ad4858": 130, "ad9739a": 130, "adaq8092": 130, "adf4355": 130, "adf4371": 130, "adis16375": 130, "adis16460": 130, "adis16475": 130, "adis16480": 130, "adis16485": 130, "adis16488": 130, "adis16490": 130, "adis16497": 130, "adis16507": 130, "adis16545": 130, "adis16547": 130, "adl5240": 130, "adpd1080": 130, "adpd188": 130, "adpd410x": 130, "adrv9002": [130, 135], "adrv9009_zu11eg_fmcomms8": 130, "adrv9009_zu11eg_multi": 130, "adt7420": 130, "adxl313": 130, "adxl345": 130, "adxl355": 130, "adxrs290": 130, "cn0511": [130, 135], "cn0532": 130, "cn0556": 130, "cn0565": 130, "cn0566": 130, "cn0575": 130, "cn0579": 130, "daq2": [130, 133], "daq3": 130, "fmc_vna": 130, "fmcadc3": 130, "fmcjesdadc1": 130, "fmcomms5": 130, "fmcomms11": 130, "gen_mux": 130, "lm75": 130, "ltc2314_14": 130, "ltc2387": 130, "ltc2499": 130, "ltc2664": 130, "ltc2672": 130, "ltc2983": 130, "max11205": 130, "max14001": 130, "max31855": 130, "max31865": 130, "max9611": 130, "tddn": 130, "easiest": 131, "dds_single_ton": [131, 133], "dds_dual_ton": 131, "dds_freq_hz": 131, "10000": 131, "dds_frequenc": 131, "dds_phase": 131, "dds_enabl": 131, "spectral": 131, "360000": 131, "disable_dd": 131, "hdl": [131, 136], "transfer": [131, 132], "radar": 131, "process": 131, "commun": 131, "instrument": 131, "insid": 131, "explicit": 131, "fabric": 131, "turn": 131, "moment": 131, "describ": 131, "flowchart": 131, "ad9081_sync_start_exampl": 131, "movement": 131, "rx_sync_start": 131, "synchronis": 131, "mechan": 131, "sensit": 131, "goe": 131, "ext_sync": 131, "synthesi": 131, "disarm": 131, "trigger_manu": 131, "hook": 131, "rx_sync_start_avail": 131, "tx_sync_start": 131, "tx_sync_start_avail": 131, "built": [132, 134, 136], "backend": 132, "remot": 132, "ethernet": 132, "pcie": 132, "usb": [132, 133], "cours": 132, "univers": 132, "resourc": 132, "192": [132, 133, 135], "168": [132, 133, 135], "rx_hardwaregain0": 132, "zc706": 132, "zedboard": 132, "whoever": 132, "pick": 132, "iio_info": 132, "iio_attr": 132, "demonstr": 133, "4000000": 133, "2000000000": 133, "data_rx": 133, "capabl": 133, "tone_freq_hz": 133, "tone_scal": 133, "tx_channel": 133, "repositori": [133, 137], "v0": [134, 136], "been": 134, "pypi": [134, 136], "beforehand": 134, "pylibiio": [134, 136], "along": 134, "dpython_bind": 134, "sudo": 134, "grab": 134, "linux": 134, "sometim": 134, "ubuntu": 134, "pythonpath": 134, "usr": 134, "lib": 134, "site": 134, "recommend": 134, "conflict": 134, "newer": 134, "debian": 134, "venv": 134, "deactiv": 134, "dave": 134, "hal": 134, "download": 134, "who": 134, "anaconda": 134, "forg": 134, "prompt": 134, "jan": 134, "2019": 134, "02": 134, "34": 134, "gcc": 134, "20180414": 134, "experiment": 134, "trunk": 134, "259383": 134, "copyright": 134, "credit": 134, "licens": 134, "eec5616": 134, "try": 134, "__version__": 134, "although": 135, "extrem": 135, "flexibl": 135, "cumbersom": 135, "boilerpl": 135, "paramiko": 135, "libad9361": 135, "libad9166": 135, "libadrv9002": 135, "tri": 136, "great": 136, "d1": 136, "d2": 136, "zip": 136, "xadc": 136, "cf": 136, "lpc": 136, "convent": 136, "popul": 136, "_ctrl": 136, "_rxadc": 136, "_txdac": 136, "guarante": 136, "find_devic": 136, "view": 136, "ensm_mode_avail": 136, "alert": 136, "fdd": 136, "wait": 136, "pinctrl": 136, "pinctrl_fdd_indep": 136, "dattr": 136, "debug_attr": 136, "digital_tun": 136, "calibration_switch_control": 136, "multichip_sync": 136, "gaininfo_rx2": 136, "gaininfo_rx1": 136, "bist_timing_analysi": 136, "gpo_set": 136, "bist_ton": 136, "bist_prb": 136, "bb": 136, "dig": 136, "txmon": 136, "cm": 136, "some": 136, "0x37": 136, "rxfpga": 136, "0x80000418": 136, "0x1": 136, "0x80000458": 136, "0xa": 136, "unstabl": 136, "stabl": 136, "Its": 136, "ecosystem": 136, "yet": 136, "question": 137, "ask": 137, "forum": 137, "engineerzon": 137, "enhanc": 137}, "objects": {"": [[130, 0, 0, "-", "adi"]], "adi": [[6, 0, 0, "-", "QuadMxFE_multi"], [7, 0, 0, "-", "ad2s1210"], [8, 0, 0, "-", "ad3552r"], [9, 0, 0, "-", "ad4020"], [10, 0, 0, "-", "ad405x"], [11, 0, 0, "-", "ad4110"], [12, 0, 0, "-", "ad4130"], [13, 0, 0, "-", "ad4170"], [14, 0, 0, "-", "ad4630"], [15, 0, 0, "-", "ad469x"], [16, 0, 0, "-", "ad4858"], [17, 0, 0, "-", "ad5592r"], [18, 0, 0, "-", "ad5627"], [19, 0, 0, "-", "ad5686"], [20, 0, 0, "-", "ad5754r"], [21, 0, 0, "-", "ad579x"], [22, 0, 0, "-", "ad5940"], [23, 0, 0, "-", "ad6676"], [24, 0, 0, "-", "ad7124"], [25, 0, 0, "-", "ad7134"], [26, 0, 0, "-", "ad717x"], [27, 0, 0, "-", "ad719x"], [28, 0, 0, "-", "ad7291"], [29, 0, 0, "-", "ad738x"], [30, 0, 0, "-", "ad7606"], [31, 0, 0, "-", "ad7689"], [32, 0, 0, "-", "ad7746"], [33, 0, 0, "-", "ad7768"], [34, 0, 0, "-", "ad777x"], [35, 0, 0, "-", "ad7799"], [36, 0, 0, "-", "ad9081"], [37, 0, 0, "-", "ad9081_mc"], [38, 0, 0, "-", "ad9083"], [39, 0, 0, "-", "ad9084"], [40, 0, 0, "-", "ad9084_mc"], [41, 0, 0, "-", "ad9094"], [42, 0, 0, "-", "ad9136"], [43, 0, 0, "-", "ad9144"], [44, 0, 0, "-", "ad9152"], [45, 0, 0, "-", "ad9162"], [46, 0, 0, "-", "ad9166"], [47, 0, 0, "-", "ad9172"], [48, 0, 0, "-", "ad9213"], [49, 0, 0, "-", "ad9250"], [50, 0, 0, "-", "ad9265"], [51, 0, 0, "-", "ad936x"], [52, 0, 0, "-", "ad937x"], [53, 0, 0, "-", "ad9434"], [54, 0, 0, "-", "ad9467"], [55, 0, 0, "-", "ad9625"], [56, 0, 0, "-", "ad9680"], [57, 0, 0, "-", "ad9739a"], [58, 0, 0, "-", "ada4961"], [59, 0, 0, "-", "adaq8092"], [60, 0, 0, "-", "adar1000"], [61, 0, 0, "-", "adf4159"], [62, 0, 0, "-", "adf4355"], [63, 0, 0, "-", "adf4371"], [64, 0, 0, "-", "adf5610"], [65, 0, 0, "-", "adg2128"], [66, 1, 1, "", "adis16375"], [67, 1, 1, "", "adis16460"], [68, 1, 1, "", "adis16475"], [69, 1, 1, "", "adis16480"], [70, 1, 1, "", "adis16485"], [71, 1, 1, "", "adis16488"], [72, 1, 1, "", "adis16490"], [73, 1, 1, "", "adis16495"], [74, 1, 1, "", "adis16497"], [75, 0, 0, "-", "adis16507"], [76, 1, 1, "", "adis16545"], [77, 1, 1, "", "adis16547"], [78, 0, 0, "-", "adl5240"], [79, 0, 0, "-", "adl5960"], [80, 0, 0, "-", "admv8818"], [81, 0, 0, "-", "adpd1080"], [82, 0, 0, "-", "adpd188"], [83, 0, 0, "-", "adpd410x"], [84, 0, 0, "-", "adrf5720"], [85, 0, 0, "-", "adrv9002"], [86, 0, 0, "-", "adrv9009"], [87, 0, 0, "-", "adrv9009_zu11eg"], [88, 0, 0, "-", "adrv9009_zu11eg_fmcomms8"], [89, 0, 0, "-", "adrv9009_zu11eg_multi"], [90, 0, 0, "-", "adt7420"], [91, 0, 0, "-", "adxl313"], [92, 0, 0, "-", "adxl345"], [93, 0, 0, "-", "adxl355"], [94, 0, 0, "-", "adxrs290"], [95, 0, 0, "-", "cn0511"], [96, 0, 0, "-", "cn0532"], [97, 0, 0, "-", "cn0540"], [98, 0, 0, "-", "cn0554"], [99, 0, 0, "-", "cn0556"], [100, 0, 0, "-", "cn0565"], [101, 0, 0, "-", "cn0566"], [102, 0, 0, "-", "cn0575"], [103, 0, 0, "-", "cn0579"], [104, 0, 0, "-", "daq2"], [105, 0, 0, "-", "daq3"], [131, 0, 0, "-", "dds"], [106, 0, 0, "-", "fmc_vna"], [107, 0, 0, "-", "fmcadc3"], [108, 0, 0, "-", "fmcjesdadc1"], [109, 0, 0, "-", "fmclidar1"], [110, 0, 0, "-", "fmcomms11"], [111, 0, 0, "-", "fmcomms5"], [112, 0, 0, "-", "gen_mux"], [113, 0, 0, "-", "jesd"], [114, 0, 0, "-", "lm75"], [115, 0, 0, "-", "ltc2314_14"], [116, 0, 0, "-", "ltc2387"], [117, 0, 0, "-", "ltc2499"], [118, 0, 0, "-", "ltc2664"], [119, 0, 0, "-", "ltc2672"], [120, 0, 0, "-", "ltc2688"], [121, 0, 0, "-", "ltc2983"], [122, 0, 0, "-", "max11205"], [123, 0, 0, "-", "max14001"], [124, 0, 0, "-", "max31855"], [125, 0, 0, "-", "max31865"], [126, 0, 0, "-", "max9611"], [127, 0, 0, "-", "one_bit_adc_dac"], [1, 0, 0, "-", "rx_tx"], [131, 0, 0, "-", "sync_start"], [128, 0, 0, "-", "tdd"], [129, 0, 0, "-", "tddn"]], "adi.QuadMxFE_multi": [[6, 1, 1, "", "QuadMxFE_multi"]], "adi.QuadMxFE_multi.QuadMxFE_multi": [[6, 2, 1, "", "hmc7044_cap_sel"], [6, 2, 1, "", "hmc7044_car_output_delay"], [6, 2, 1, "", "hmc7044_ext_output_delay"], [6, 2, 1, "", "hmc7044_set_cap_sel"], [6, 2, 1, "", "reinitialize"], [6, 2, 1, "", "rx"], [6, 3, 1, "", "rx_buffer_size"], [6, 4, 1, "", "secondaries"], [6, 2, 1, "", "sysref_request"]], "adi.ad2s1210": [[7, 1, 1, "", "ad2s1210"]], "adi.ad2s1210.ad2s1210": [[7, 3, 1, "", "excitation_frequency"], [7, 3, 1, "", "hysteresis_enable"]], "adi.ad3552r": [[8, 1, 1, "", "ad3552r"]], "adi.ad4020": [[9, 1, 1, "", "ad4000"], [9, 1, 1, "", "ad4001"], [9, 1, 1, "", "ad4002"], [9, 1, 1, "", "ad4003"], [9, 1, 1, "", "ad4020"]], "adi.ad4020.ad4020": [[9, 3, 1, "", "sampling_frequency"]], "adi.ad405x": [[10, 1, 1, "", "ad405x"]], "adi.ad405x.ad405x": [[10, 3, 1, "", "avg_filter_length"], [10, 3, 1, "", "avg_filter_length_avail"], [10, 3, 1, "", "burst_sample_rate"], [10, 4, 1, "", "channels"], [10, 3, 1, "", "operating_mode"], [10, 3, 1, "", "operating_mode_avail"], [10, 3, 1, "", "sampling_frequency"], [10, 2, 1, "", "to_volts"]], "adi.ad4110": [[11, 1, 1, "", "ad4110"]], "adi.ad4110.ad4110": [[11, 4, 1, "", "channel"], [11, 2, 1, "", "to_volts"]], "adi.ad4130": [[12, 1, 1, "", "ad4130"]], "adi.ad4130.ad4130": [[12, 4, 1, "", "channel"], [12, 2, 1, "", "to_volts"]], "adi.ad4170": [[13, 1, 1, "", "ad4170"]], "adi.ad4170.ad4170": [[13, 4, 1, "", "channels"], [13, 2, 1, "", "to_volts"]], "adi.ad4630": [[14, 1, 1, "", "ad4630"], [14, 1, 1, "", "adaq42xx"]], "adi.ad4630.ad4630": [[14, 2, 1, "", "output_data_mode"], [14, 2, 1, "", "rx"], [14, 3, 1, "", "sample_averaging"], [14, 3, 1, "", "sample_averaging_avail"], [14, 3, 1, "", "sample_rate"]], "adi.ad469x": [[15, 1, 1, "", "ad469x"]], "adi.ad469x.ad469x": [[15, 4, 1, "", "channel"], [15, 2, 1, "", "to_volts"]], "adi.ad4858": [[16, 1, 1, "", "ad4858"]], "adi.ad4858.ad4858": [[16, 4, 1, "", "channel"], [16, 3, 1, "", "oversampling_ratio"], [16, 3, 1, "", "oversampling_ratio_avail"], [16, 3, 1, "", "packet_format"], [16, 3, 1, "", "packet_format_avail"], [16, 3, 1, "", "sampling_frequency"], [16, 2, 1, "", "to_volts"]], "adi.ad5592r": [[17, 1, 1, "", "ad5592r"]], "adi.ad5592r.ad5592r": [[17, 1, 1, "", "channel_adc"], [17, 1, 1, "", "channel_dac"], [17, 1, 1, "", "channel_temp"]], "adi.ad5592r.ad5592r.channel_adc": [[17, 3, 1, "", "raw"], [17, 3, 1, "", "scale"], [17, 3, 1, "", "scale_available"]], "adi.ad5592r.ad5592r.channel_dac": [[17, 3, 1, "", "raw"]], "adi.ad5592r.ad5592r.channel_temp": [[17, 3, 1, "", "offset"], [17, 3, 1, "", "raw"], [17, 3, 1, "", "scale"]], "adi.ad5627": [[18, 1, 1, "", "ad5627"]], "adi.ad5627.ad5627": [[18, 3, 1, "", "apdbias"], [18, 3, 1, "", "tiltvoltage"]], "adi.ad5686": [[19, 1, 1, "", "ad5686"]], "adi.ad5686.ad5686": [[19, 4, 1, "", "channel"]], "adi.ad5754r": [[20, 1, 1, "", "ad5754r"]], "adi.ad5754r.ad5754r": [[20, 3, 1, "", "all_chns_clear"], [20, 3, 1, "", "all_chns_clear_available"], [20, 4, 1, "", "channel"], [20, 3, 1, "", "clamp_enable"], [20, 3, 1, "", "clamp_enable_available"], [20, 3, 1, "", "clear_setting"], [20, 3, 1, "", "clear_setting_available"], [20, 3, 1, "", "hw_ldac_trigger"], [20, 3, 1, "", "hw_ldac_trigger_available"], [20, 3, 1, "", "int_ref_powerup"], [20, 3, 1, "", "int_ref_powerup_available"], [20, 3, 1, "", "oc_tsd"], [20, 3, 1, "", "oc_tsd_available"], [20, 3, 1, "", "sampling_frequency"], [20, 3, 1, "", "sdo_disable"], [20, 3, 1, "", "sdo_disable_available"], [20, 3, 1, "", "sw_ldac_trigger"], [20, 3, 1, "", "sw_ldac_trigger_available"], [20, 3, 1, "", "tsd_enable"], [20, 3, 1, "", "tsd_enable_available"]], "adi.ad579x": [[21, 1, 1, "", "ad579x"]], "adi.ad579x.ad579x": [[21, 4, 1, "", "channel"], [21, 3, 1, "", "powerdown_mode"], [21, 3, 1, "", "powerdown_mode_available"], [21, 3, 1, "", "sampling_frequency"]], "adi.ad5940": [[22, 1, 1, "", "ad5940"]], "adi.ad5940.ad5940": [[22, 4, 1, "", "channel"], [22, 3, 1, "", "excitation_amplitude"], [22, 3, 1, "", "excitation_frequency"], [22, 3, 1, "", "gpio1_toggle"], [22, 3, 1, "", "impedance_mode"], [22, 3, 1, "", "magnitude_mode"]], "adi.ad6676": [[23, 1, 1, "", "ad6676"]], "adi.ad6676.ad6676": [[23, 3, 1, "", "adc_frequency"], [23, 3, 1, "", "bandwidth"], [23, 3, 1, "", "bw_margin_high"], [23, 3, 1, "", "bw_margin_if"], [23, 3, 1, "", "bw_margin_low"], [23, 3, 1, "", "hardwaregain"], [23, 3, 1, "", "intermediate_frequency"], [23, 3, 1, "", "sampling_frequency"], [23, 3, 1, "", "scale"], [23, 3, 1, "", "shuffler_control"], [23, 3, 1, "", "shuffler_thresh"], [23, 3, 1, "", "test_mode"]], "adi.ad7124": [[24, 1, 1, "", "ad7124"]], "adi.ad7124.ad7124": [[24, 4, 1, "", "channel"], [24, 3, 1, "", "sample_rate"], [24, 3, 1, "", "scale_available"], [24, 2, 1, "", "to_volts"]], "adi.ad7134": [[25, 1, 1, "", "ad7134"]], "adi.ad7134.ad7134": [[25, 4, 1, "", "channels"], [25, 2, 1, "", "to_volts"]], "adi.ad717x": [[26, 1, 1, "", "ad717x"]], "adi.ad717x.ad717x": [[26, 4, 1, "", "channel"], [26, 2, 1, "", "to_volts"]], "adi.ad719x": [[27, 1, 1, "", "ad719x"]], "adi.ad719x.ad719x": [[27, 4, 1, "", "channel"], [27, 2, 1, "", "to_volts"]], "adi.ad7291": [[28, 1, 1, "", "ad7291"]], "adi.ad738x": [[29, 1, 1, "", "ad738x"]], "adi.ad738x.ad738x": [[29, 4, 1, "", "channel"], [29, 2, 1, "", "to_volts"]], "adi.ad7606": [[30, 1, 1, "", "ad7606"]], "adi.ad7606.ad7606": [[30, 4, 1, "", "channel"], [30, 3, 1, "", "oversampling_ratio"], [30, 3, 1, "", "oversampling_ratio_available"], [30, 3, 1, "", "range_available"], [30, 3, 1, "", "scale_available"], [30, 2, 1, "", "to_volts"]], "adi.ad7689": [[31, 1, 1, "", "ad7689"]], "adi.ad7689.ad7689": [[31, 4, 1, "", "channel"], [31, 2, 1, "", "to_volts"]], "adi.ad7746": [[32, 1, 1, "", "ad7746"]], "adi.ad7746.ad7746": [[32, 4, 1, "", "channel"]], "adi.ad7768": [[33, 1, 1, "", "ad7768"], [33, 1, 1, "", "ad7768_4"]], "adi.ad7768.ad7768": [[33, 3, 1, "", "filter_type"], [33, 3, 1, "", "filter_type_avail"], [33, 3, 1, "", "power_mode"], [33, 3, 1, "", "power_mode_avail"], [33, 3, 1, "", "sampling_frequency"], [33, 3, 1, "", "sampling_frequency_available"]], "adi.ad7768.ad7768_4": [[33, 3, 1, "", "sync_start_enable"], [33, 3, 1, "", "sync_start_enable_available"]], "adi.ad777x": [[34, 1, 1, "", "ad777x"]], "adi.ad777x.ad777x": [[34, 4, 1, "", "channel"], [34, 2, 1, "", "to_volts"]], "adi.ad7799": [[35, 1, 1, "", "ad7799"]], "adi.ad7799.ad7799": [[35, 4, 1, "", "channel"], [35, 3, 1, "", "gain"]], "adi.ad9081": [[36, 1, 1, "", "ad9081"]], "adi.ad9081.ad9081": [[36, 3, 1, "", "adc_frequency"], [36, 3, 1, "", "api_version"], [36, 3, 1, "", "chip_version"], [36, 3, 1, "", "dac_frequency"], [36, 3, 1, "", "jesd204_device_status"], [36, 3, 1, "", "jesd204_device_status_check"], [36, 3, 1, "", "jesd204_fsm_ctrl"], [36, 3, 1, "", "jesd204_fsm_error"], [36, 3, 1, "", "jesd204_fsm_paused"], [36, 3, 1, "", "jesd204_fsm_resume"], [36, 3, 1, "", "jesd204_fsm_state"], [36, 3, 1, "", "loopback_mode"], [36, 3, 1, "", "path_map"], [36, 3, 1, "", "pfilt_config"], [36, 3, 1, "", "powerdown"], [36, 3, 1, "", "rx_channel_6dB_digital_gains"], [36, 3, 1, "", "rx_channel_nco_frequencies"], [36, 3, 1, "", "rx_channel_nco_phases"], [36, 3, 1, "", "rx_main_6dB_digital_gains"], [36, 3, 1, "", "rx_main_ffh_gpio_mode_enable"], [36, 3, 1, "", "rx_main_ffh_mode"], [36, 3, 1, "", "rx_main_ffh_trig_hop_en"], [36, 3, 1, "", "rx_main_nco_ffh_index"], [36, 3, 1, "", "rx_main_nco_ffh_select"], [36, 3, 1, "", "rx_main_nco_frequencies"], [36, 3, 1, "", "rx_main_nco_phases"], [36, 3, 1, "", "rx_nyquist_zone"], [36, 3, 1, "", "rx_sample_rate"], [36, 3, 1, "", "rx_test_mode"], [36, 2, 1, "", "set_tx_dac_full_scale_current"], [36, 3, 1, "", "tx_channel_nco_frequencies"], [36, 3, 1, "", "tx_channel_nco_gain_scales"], [36, 3, 1, "", "tx_channel_nco_phases"], [36, 3, 1, "", "tx_channel_nco_test_tone_en"], [36, 3, 1, "", "tx_channel_nco_test_tone_scales"], [36, 3, 1, "", "tx_dac_en"], [36, 3, 1, "", "tx_dac_full_scale_current"], [36, 3, 1, "", "tx_ddr_offload"], [36, 3, 1, "", "tx_main_ffh_frequency"], [36, 3, 1, "", "tx_main_ffh_gpio_mode_enable"], [36, 3, 1, "", "tx_main_ffh_index"], [36, 3, 1, "", "tx_main_ffh_mode"], [36, 3, 1, "", "tx_main_nco_ffh_select"], [36, 3, 1, "", "tx_main_nco_frequencies"], [36, 3, 1, "", "tx_main_nco_phases"], [36, 3, 1, "", "tx_main_nco_test_tone_en"], [36, 3, 1, "", "tx_main_nco_test_tone_scales"], [36, 3, 1, "", "tx_sample_rate"], [36, 2, 1, "", "write_pfilt_config"]], "adi.ad9081_mc": [[37, 1, 1, "", "QuadMxFE"], [37, 1, 1, "", "ad9081_mc"]], "adi.ad9081_mc.QuadMxFE": [[37, 3, 1, "", "rx_dsa_gain"]], "adi.ad9083": [[38, 1, 1, "", "ad9083"]], "adi.ad9083.ad9083": [[38, 3, 1, "", "nco0_frequency"], [38, 3, 1, "", "nco1_frequency"], [38, 3, 1, "", "nco2_frequency"], [38, 2, 1, "", "reg_read"], [38, 2, 1, "", "reg_write"], [38, 3, 1, "", "rx_sample_rate"]], "adi.ad9084": [[39, 1, 1, "", "ad9084"]], "adi.ad9084.ad9084": [[39, 3, 1, "", "adc_frequency"], [39, 3, 1, "", "api_version"], [39, 3, 1, "", "chip_version"], [39, 3, 1, "", "dac_frequency"], [39, 3, 1, "", "jesd204_device_status"], [39, 3, 1, "", "jesd204_device_status_check"], [39, 3, 1, "", "jesd204_fsm_ctrl"], [39, 3, 1, "", "jesd204_fsm_error"], [39, 3, 1, "", "jesd204_fsm_paused"], [39, 3, 1, "", "jesd204_fsm_resume"], [39, 3, 1, "", "jesd204_fsm_state"], [39, 3, 1, "", "loopback_mode"], [39, 3, 1, "", "path_map"], [39, 3, 1, "", "rx_channel_nco_frequencies"], [39, 3, 1, "", "rx_channel_nco_phases"], [39, 3, 1, "", "rx_main_nco_frequencies"], [39, 3, 1, "", "rx_main_nco_phases"], [39, 3, 1, "", "rx_nyquist_zone"], [39, 3, 1, "", "rx_sample_rate"], [39, 3, 1, "", "rx_test_mode"], [39, 3, 1, "", "tx_channel_nco_frequencies"], [39, 3, 1, "", "tx_channel_nco_gain_scales"], [39, 3, 1, "", "tx_channel_nco_phases"], [39, 3, 1, "", "tx_channel_nco_test_tone_en"], [39, 3, 1, "", "tx_channel_nco_test_tone_scales"], [39, 3, 1, "", "tx_ddr_offload"], [39, 3, 1, "", "tx_main_nco_frequencies"], [39, 3, 1, "", "tx_main_nco_phases"], [39, 3, 1, "", "tx_main_nco_test_tone_en"], [39, 3, 1, "", "tx_main_nco_test_tone_scales"], [39, 3, 1, "", "tx_sample_rate"]], "adi.ad9084_mc": [[40, 1, 1, "", "Triton"], [40, 1, 1, "", "ad9084_mc"]], "adi.ad9084_mc.Triton": [[40, 3, 1, "", "rx_dsa_gain"]], "adi.ad9094": [[41, 1, 1, "", "ad9094"]], "adi.ad9136": [[42, 1, 1, "", "ad9136"]], "adi.ad9136.ad9136": [[42, 3, 1, "", "jesd204_statuses"], [42, 3, 1, "", "sample_rate"]], "adi.ad9144": [[43, 1, 1, "", "ad9144"]], "adi.ad9144.ad9144": [[43, 3, 1, "", "sample_rate"]], "adi.ad9152": [[44, 1, 1, "", "ad9152"]], "adi.ad9152.ad9152": [[44, 3, 1, "", "sample_rate"]], "adi.ad9162": [[45, 1, 1, "", "ad9162"]], "adi.ad9162.ad9162": [[45, 3, 1, "", "fir85_enable"], [45, 3, 1, "", "frequency_nco"], [45, 3, 1, "", "jesd204_statuses"], [45, 3, 1, "", "sample_rate"], [45, 3, 1, "", "scale"]], "adi.ad9166": [[46, 1, 1, "", "ad9166"]], "adi.ad9166.ad9166": [[46, 3, 1, "", "FIR85_enable"], [46, 4, 1, "", "channel"], [46, 3, 1, "", "frequency"], [46, 3, 1, "", "nco_enable"], [46, 3, 1, "", "raw"], [46, 3, 1, "", "sample_rate"], [46, 3, 1, "", "sample_rate_available"], [46, 3, 1, "", "temperature"], [46, 3, 1, "", "temperature_cal"], [46, 3, 1, "", "temperature_code"], [46, 3, 1, "", "temperature_enable"], [46, 3, 1, "", "tx_enable"]], "adi.ad9172": [[47, 1, 1, "", "ad9172"]], "adi.ad9172.ad9172": [[47, 3, 1, "", "sample_rate"]], "adi.ad9213": [[48, 1, 1, "", "ad9213"]], "adi.ad9250": [[49, 1, 1, "", "ad9250"]], "adi.ad9250.ad9250": [[49, 3, 1, "", "jesd204_statuses"], [49, 3, 1, "", "test_mode"]], "adi.ad9265": [[50, 1, 1, "", "ad9265"]], "adi.ad9265.ad9265": [[50, 3, 1, "", "test_mode"]], "adi.ad936x": [[51, 1, 1, "", "Pluto"], [51, 1, 1, "", "ad9361"], [51, 1, 1, "", "ad9363"], [51, 1, 1, "", "ad9364"]], "adi.ad936x.ad9361": [[51, 3, 1, "", "gain_control_mode_chan1"], [51, 3, 1, "", "rx_hardwaregain_chan1"], [51, 3, 1, "", "tx_hardwaregain_chan1"]], "adi.ad936x.ad9364": [[51, 3, 1, "", "filter"], [51, 3, 1, "", "gain_control_mode_chan0"], [51, 3, 1, "", "loopback"], [51, 3, 1, "", "rx_hardwaregain_chan0"], [51, 3, 1, "", "rx_lo"], [51, 3, 1, "", "rx_rf_bandwidth"], [51, 3, 1, "", "sample_rate"], [51, 3, 1, "", "tx_hardwaregain_chan0"], [51, 3, 1, "", "tx_lo"], [51, 3, 1, "", "tx_rf_bandwidth"]], "adi.ad937x": [[52, 1, 1, "", "ad9371"], [52, 1, 1, "", "ad9375"]], "adi.ad937x.ad9371": [[52, 3, 1, "", "ensm_mode"], [52, 3, 1, "", "gain_control_mode"], [52, 3, 1, "", "jesd204_statuses"], [52, 3, 1, "", "obs_gain_control_mode"], [52, 3, 1, "", "obs_hardwaregain"], [52, 3, 1, "", "obs_quadrature_tracking_en"], [52, 3, 1, "", "obs_rf_port_select"], [52, 3, 1, "", "obs_temp_comp_gain"], [52, 3, 1, "", "orx_sample_rate"], [52, 3, 1, "", "profile"], [52, 3, 1, "", "rx_enable_dec8"], [52, 3, 1, "", "rx_hardwaregain_chan0"], [52, 3, 1, "", "rx_hardwaregain_chan1"], [52, 3, 1, "", "rx_lo"], [52, 3, 1, "", "rx_quadrature_tracking_en_chan0"], [52, 3, 1, "", "rx_quadrature_tracking_en_chan1"], [52, 3, 1, "", "rx_rf_bandwidth"], [52, 3, 1, "", "rx_sample_rate"], [52, 3, 1, "", "rx_temp_comp_gain_chan0"], [52, 3, 1, "", "rx_temp_comp_gain_chan1"], [52, 3, 1, "", "sn_lo"], [52, 3, 1, "", "tx_enable_int8"], [52, 3, 1, "", "tx_hardwaregain_chan0"], [52, 3, 1, "", "tx_hardwaregain_chan1"], [52, 3, 1, "", "tx_lo"], [52, 3, 1, "", "tx_quadrature_tracking_en_chan0"], [52, 3, 1, "", "tx_quadrature_tracking_en_chan1"], [52, 3, 1, "", "tx_rf_bandwidth"], [52, 3, 1, "", "tx_sample_rate"]], "adi.ad937x.ad9375": [[52, 3, 1, "", "tx_clgc_current_gain_chan0"], [52, 3, 1, "", "tx_clgc_current_gain_chan1"], [52, 3, 1, "", "tx_clgc_desired_gain_chan0"], [52, 3, 1, "", "tx_clgc_desired_gain_chan1"], [52, 3, 1, "", "tx_clgc_orx_rms_chan0"], [52, 3, 1, "", "tx_clgc_track_count_chan0"], [52, 3, 1, "", "tx_clgc_track_count_chan1"], [52, 3, 1, "", "tx_clgc_tracking_en_chan0"], [52, 3, 1, "", "tx_clgc_tracking_en_chan1"], [52, 3, 1, "", "tx_clgc_tx_gain_chan0"], [52, 3, 1, "", "tx_clgc_tx_gain_chan1"], [52, 3, 1, "", "tx_clgc_tx_rms_chan0"], [52, 3, 1, "", "tx_clgc_tx_rms_chan1"], [52, 3, 1, "", "tx_dpd_actuator_en_chan0"], [52, 3, 1, "", "tx_dpd_actuator_en_chan1"], [52, 3, 1, "", "tx_dpd_external_path_delay_chan0"], [52, 3, 1, "", "tx_dpd_external_path_delay_chan1"], [52, 3, 1, "", "tx_dpd_model_error_chan0"], [52, 3, 1, "", "tx_dpd_model_error_chan1"], [52, 2, 1, "", "tx_dpd_reset_en_chan0"], [52, 2, 1, "", "tx_dpd_reset_en_chan1"], [52, 3, 1, "", "tx_dpd_status_chan0"], [52, 3, 1, "", "tx_dpd_status_chan1"], [52, 3, 1, "", "tx_dpd_track_count_chan0"], [52, 3, 1, "", "tx_dpd_track_count_chan1"], [52, 3, 1, "", "tx_dpd_tracking_en_chan0"], [52, 3, 1, "", "tx_dpd_tracking_en_chan1"], [52, 3, 1, "", "tx_vswr_forward_gain_chan0"], [52, 3, 1, "", "tx_vswr_forward_gain_chan1"], [52, 3, 1, "", "tx_vswr_forward_gain_imag_chan0"], [52, 3, 1, "", "tx_vswr_forward_gain_imag_chan1"], [52, 3, 1, "", "tx_vswr_forward_gain_real_chan0"], [52, 3, 1, "", "tx_vswr_forward_gain_real_chan1"], [52, 3, 1, "", "tx_vswr_forward_orx_chan0"], [52, 3, 1, "", "tx_vswr_forward_tx_chan0"], [52, 3, 1, "", "tx_vswr_forward_tx_chan1"], [52, 3, 1, "", "tx_vswr_reflected_gain_chan0"], [52, 3, 1, "", "tx_vswr_reflected_gain_chan1"], [52, 3, 1, "", "tx_vswr_reflected_gain_imag_chan0"], [52, 3, 1, "", "tx_vswr_reflected_gain_imag_chan1"], [52, 3, 1, "", "tx_vswr_reflected_gain_real_chan0"], [52, 3, 1, "", "tx_vswr_reflected_gain_real_chan1"], [52, 3, 1, "", "tx_vswr_reflected_orx_chan0"], [52, 3, 1, "", "tx_vswr_reflected_tx_chan0"], [52, 3, 1, "", "tx_vswr_reflected_tx_chan1"], [52, 3, 1, "", "tx_vswr_track_count_chan0"], [52, 3, 1, "", "tx_vswr_track_count_chan1"], [52, 3, 1, "", "tx_vswr_tracking_en_chan0"], [52, 3, 1, "", "tx_vswr_tracking_en_chan1"]], "adi.ad9434": [[53, 1, 1, "", "ad9434"]], "adi.ad9434.ad9434": [[53, 3, 1, "", "test_mode"]], "adi.ad9467": [[54, 1, 1, "", "ad9467"]], "adi.ad9467.ad9467": [[54, 3, 1, "", "test_mode"]], "adi.ad9625": [[55, 1, 1, "", "ad9625"]], "adi.ad9625.ad9625": [[55, 3, 1, "", "jesd204_statuses"], [55, 3, 1, "", "rx_sample_rate"], [55, 3, 1, "", "scale"], [55, 3, 1, "", "scale_available"], [55, 3, 1, "", "test_mode"]], "adi.ad9680": [[56, 1, 1, "", "ad9680"]], "adi.ad9680.ad9680": [[56, 3, 1, "", "test_mode"]], "adi.ad9739a": [[57, 1, 1, "", "ad9739a"]], "adi.ad9739a.ad9739a": [[57, 3, 1, "", "sample_rate"]], "adi.ada4961": [[58, 1, 1, "", "ada4961"]], "adi.ada4961.ada4961": [[58, 3, 1, "", "hardwaregain"]], "adi.adaq8092": [[59, 1, 1, "", "adaq8092"]], "adi.adaq8092.adaq8092": [[59, 3, 1, "", "sampling_frequency"]], "adi.adar1000": [[60, 1, 1, "", "adar1000"], [60, 1, 1, "", "adar1000_array"]], "adi.adar1000.adar1000": [[60, 1, 1, "", "adar1000_channel"], [60, 3, 1, "", "array_device_number"], [60, 3, 1, "", "beam_mem_enable"], [60, 3, 1, "", "bias_dac_enable"], [60, 3, 1, "", "bias_dac_mode"], [60, 3, 1, "", "bias_mem_enable"], [60, 3, 1, "", "channel1"], [60, 3, 1, "", "channel2"], [60, 3, 1, "", "channel3"], [60, 3, 1, "", "channel4"], [60, 3, 1, "", "channels"], [60, 3, 1, "", "chip_id"], [60, 3, 1, "", "common_mem_enable"], [60, 3, 1, "", "common_rx_beam_state"], [60, 3, 1, "", "common_tx_beam_state"], [60, 3, 1, "", "external_tr_pin"], [60, 3, 1, "", "external_tr_polarity"], [60, 2, 1, "", "generate_clocks"], [60, 2, 1, "", "initialize"], [60, 2, 1, "", "latch_rx_settings"], [60, 2, 1, "", "latch_tx_settings"], [60, 3, 1, "", "lna_bias_off"], [60, 3, 1, "", "lna_bias_on"], [60, 3, 1, "", "lna_bias_out_enable"], [60, 3, 1, "", "mode"], [60, 3, 1, "", "pol_state"], [60, 3, 1, "", "pol_switch_enable"], [60, 2, 1, "", "reset"], [60, 3, 1, "", "rx_bias_state"], [60, 3, 1, "", "rx_lna_bias_current"], [60, 3, 1, "", "rx_lna_enable"], [60, 3, 1, "", "rx_sequencer_start"], [60, 3, 1, "", "rx_sequencer_stop"], [60, 3, 1, "", "rx_to_tx_delay_1"], [60, 3, 1, "", "rx_to_tx_delay_2"], [60, 3, 1, "", "rx_vga_enable"], [60, 3, 1, "", "rx_vga_vm_bias_current"], [60, 3, 1, "", "rx_vm_enable"], [60, 2, 1, "", "save_rx_bias"], [60, 2, 1, "", "save_tx_bias"], [60, 3, 1, "", "sequencer_enable"], [60, 3, 1, "", "temperature"], [60, 3, 1, "", "tr_source"], [60, 3, 1, "", "tr_spi"], [60, 3, 1, "", "tr_switch_enable"], [60, 3, 1, "", "tx_bias_state"], [60, 3, 1, "", "tx_pa_bias_current"], [60, 3, 1, "", "tx_pa_enable"], [60, 3, 1, "", "tx_sequencer_start"], [60, 3, 1, "", "tx_sequencer_stop"], [60, 3, 1, "", "tx_to_rx_delay_1"], [60, 3, 1, "", "tx_to_rx_delay_2"], [60, 3, 1, "", "tx_vga_enable"], [60, 3, 1, "", "tx_vga_vm_bias_current"], [60, 3, 1, "", "tx_vm_enable"]], "adi.adar1000.adar1000.adar1000_channel": [[60, 3, 1, "", "adar1000_channel"], [60, 3, 1, "", "adar1000_parent"], [60, 3, 1, "", "array_element_number"], [60, 3, 1, "", "column"], [60, 3, 1, "", "detector_power"], [60, 3, 1, "", "pa_bias_off"], [60, 3, 1, "", "pa_bias_on"], [60, 3, 1, "", "row"], [60, 3, 1, "", "rx_attenuator"], [60, 3, 1, "", "rx_beam_state"], [60, 3, 1, "", "rx_enable"], [60, 3, 1, "", "rx_gain"], [60, 3, 1, "", "rx_phase"], [60, 2, 1, "", "save_rx_beam"], [60, 2, 1, "", "save_tx_beam"], [60, 3, 1, "", "tx_attenuator"], [60, 3, 1, "", "tx_beam_state"], [60, 3, 1, "", "tx_enable"], [60, 3, 1, "", "tx_gain"], [60, 3, 1, "", "tx_phase"]], "adi.adar1000.adar1000_array": [[60, 3, 1, "", "all_rx_attenuators"], [60, 3, 1, "", "all_rx_gains"], [60, 3, 1, "", "all_rx_phases"], [60, 3, 1, "", "all_tx_attenuators"], [60, 3, 1, "", "all_tx_gains"], [60, 3, 1, "", "all_tx_phases"], [60, 2, 1, "", "calculate_phi"], [60, 3, 1, "", "device_map"], [60, 3, 1, "", "devices"], [60, 3, 1, "", "element_map"], [60, 3, 1, "", "element_spacing"], [60, 3, 1, "", "elements"], [60, 3, 1, "", "frequency"], [60, 2, 1, "", "initialize_devices"], [60, 2, 1, "", "latch_rx_settings"], [60, 2, 1, "", "latch_tx_settings"], [60, 3, 1, "", "rx_azimuth"], [60, 3, 1, "", "rx_azimuth_phi"], [60, 3, 1, "", "rx_elevation"], [60, 3, 1, "", "rx_elevation_phi"], [60, 2, 1, "", "steer_rx"], [60, 2, 1, "", "steer_tx"], [60, 3, 1, "", "temperatures"], [60, 3, 1, "", "tx_azimuth"], [60, 3, 1, "", "tx_azimuth_phi"], [60, 3, 1, "", "tx_elevation"], [60, 3, 1, "", "tx_elevation_phi"]], "adi.adf4159": [[61, 1, 1, "", "adf4159"]], "adi.adf4159.adf4159": [[61, 3, 1, "", "clk1_div_value"], [61, 3, 1, "", "clk1_mode"], [61, 3, 1, "", "clk2_div_value"], [61, 3, 1, "", "delay_clk"], [61, 3, 1, "", "delay_start_en"], [61, 3, 1, "", "delay_word"], [61, 3, 1, "", "enable"], [61, 3, 1, "", "freq_dev_range"], [61, 3, 1, "", "freq_dev_step"], [61, 3, 1, "", "freq_dev_time"], [61, 3, 1, "", "frequency"], [61, 3, 1, "", "muxout_sel"], [61, 3, 1, "", "phase_value"], [61, 3, 1, "", "ramp_delay_en"], [61, 3, 1, "", "ramp_en"], [61, 3, 1, "", "ramp_mode"], [61, 3, 1, "", "sing_ful_tri"], [61, 3, 1, "", "trig_delay_en"], [61, 3, 1, "", "tx_trig_en"]], "adi.adf4355": [[62, 1, 1, "", "adf4355"]], "adi.adf4355.adf4355": [[62, 3, 1, "", "frequency_altvolt0"], [62, 3, 1, "", "frequency_altvolt1"], [62, 3, 1, "", "powerdown_altvolt0"], [62, 3, 1, "", "powerdown_altvolt1"]], "adi.adf4371": [[63, 1, 1, "", "adf4371"]], "adi.adf4371.adf4371": [[63, 3, 1, "", "muxout_mode"], [63, 3, 1, "", "rf16_enable"], [63, 3, 1, "", "rf16_frequency"], [63, 3, 1, "", "rf32_enable"], [63, 3, 1, "", "rf32_frequency"], [63, 3, 1, "", "rf8_enable"], [63, 3, 1, "", "rf8_frequency"], [63, 3, 1, "", "rfaux8_enable"], [63, 3, 1, "", "rfaux8_frequency"], [63, 3, 1, "", "temperature"]], "adi.adf5610": [[64, 1, 1, "", "adf5610"]], "adi.adf5610.adf5610": [[64, 3, 1, "", "frequency"], [64, 2, 1, "", "reg_read"], [64, 2, 1, "", "reg_write"]], "adi.adg2128": [[65, 1, 1, "", "adg2128"], [65, 1, 1, "", "yline"]], "adi.adg2128.adg2128": [[65, 2, 1, "", "add"], [65, 3, 1, "", "immediate"], [65, 2, 1, "", "open_all"]], "adi.adis16375": [[66, 3, 1, "", "accel_x_calibbias"], [66, 3, 1, "", "accel_x_calibscale"], [66, 3, 1, "", "accel_x_conv"], [66, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [66, 3, 1, "", "accel_y_calibbias"], [66, 3, 1, "", "accel_y_calibscale"], [66, 3, 1, "", "accel_y_conv"], [66, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [66, 3, 1, "", "accel_z_calibbias"], [66, 3, 1, "", "accel_z_calibscale"], [66, 3, 1, "", "accel_z_conv"], [66, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [66, 3, 1, "", "anglvel_x_calibbias"], [66, 3, 1, "", "anglvel_x_calibscale"], [66, 3, 1, "", "anglvel_x_conv"], [66, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [66, 3, 1, "", "anglvel_y_calibbias"], [66, 3, 1, "", "anglvel_y_calibscale"], [66, 3, 1, "", "anglvel_y_conv"], [66, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [66, 3, 1, "", "anglvel_z_calibbias"], [66, 3, 1, "", "anglvel_z_calibscale"], [66, 3, 1, "", "anglvel_z_conv"], [66, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [66, 4, 1, "", "compatible_parts"], [66, 3, 1, "", "ctx"], [66, 3, 1, "", "current_timestamp_clock"], [66, 3, 1, "", "deltaangl_x_conv"], [66, 3, 1, "", "deltaangl_y_conv"], [66, 3, 1, "", "deltaangl_z_conv"], [66, 3, 1, "", "deltavelocity_x_conv"], [66, 3, 1, "", "deltavelocity_y_conv"], [66, 3, 1, "", "deltavelocity_z_conv"], [66, 4, 1, "", "disable_trigger"], [66, 3, 1, "", "firmware_date"], [66, 3, 1, "", "firmware_revision"], [66, 3, 1, "", "flash_count"], [66, 2, 1, "", "get_accel_x"], [66, 2, 1, "", "get_accel_y"], [66, 2, 1, "", "get_accel_z"], [66, 2, 1, "", "get_anglvel_x"], [66, 2, 1, "", "get_anglvel_y"], [66, 2, 1, "", "get_anglvel_z"], [66, 2, 1, "", "get_deltaangl_x"], [66, 2, 1, "", "get_deltaangl_y"], [66, 2, 1, "", "get_deltaangl_z"], [66, 2, 1, "", "get_deltavelocity_x"], [66, 2, 1, "", "get_deltavelocity_y"], [66, 2, 1, "", "get_deltavelocity_z"], [66, 2, 1, "", "get_temp"], [66, 3, 1, "", "product_id"], [66, 2, 1, "", "rx"], [66, 3, 1, "", "rx_annotated"], [66, 3, 1, "", "rx_buffer_size"], [66, 3, 1, "", "rx_channel_names"], [66, 2, 1, "", "rx_destroy_buffer"], [66, 3, 1, "", "rx_enabled_channels"], [66, 3, 1, "", "rx_output_type"], [66, 3, 1, "", "sample_rate"], [66, 3, 1, "", "serial_number"], [66, 3, 1, "", "temp_conv"]], "adi.adis16460": [[67, 3, 1, "", "ctx"], [67, 3, 1, "", "current_timestamp_clock"], [67, 2, 1, "", "rx"], [67, 3, 1, "", "rx_annotated"], [67, 3, 1, "", "rx_buffer_size"], [67, 3, 1, "", "rx_channel_names"], [67, 2, 1, "", "rx_destroy_buffer"], [67, 3, 1, "", "rx_enabled_channels"], [67, 3, 1, "", "rx_output_type"], [67, 3, 1, "", "sample_rate"]], "adi.adis16475": [[68, 3, 1, "", "accel_x_calibbias"], [68, 3, 1, "", "accel_x_conv"], [68, 3, 1, "", "accel_y_calibbias"], [68, 3, 1, "", "accel_y_conv"], [68, 3, 1, "", "accel_z_calibbias"], [68, 3, 1, "", "accel_z_conv"], [68, 3, 1, "", "anglvel_x_calibbias"], [68, 3, 1, "", "anglvel_x_conv"], [68, 3, 1, "", "anglvel_y_calibbias"], [68, 3, 1, "", "anglvel_y_conv"], [68, 3, 1, "", "anglvel_z_calibbias"], [68, 3, 1, "", "anglvel_z_conv"], [68, 3, 1, "", "ctx"], [68, 3, 1, "", "deltaangl_x_conv"], [68, 3, 1, "", "deltaangl_y_conv"], [68, 3, 1, "", "deltaangl_z_conv"], [68, 3, 1, "", "deltavelocity_x_conv"], [68, 3, 1, "", "deltavelocity_y_conv"], [68, 3, 1, "", "deltavelocity_z_conv"], [68, 3, 1, "", "filter_low_pass_3db_frequency"], [68, 3, 1, "", "firmware_date"], [68, 3, 1, "", "firmware_revision"], [68, 3, 1, "", "flash_count"], [68, 2, 1, "", "get_accel_x"], [68, 2, 1, "", "get_accel_y"], [68, 2, 1, "", "get_accel_z"], [68, 2, 1, "", "get_anglvel_x"], [68, 2, 1, "", "get_anglvel_y"], [68, 2, 1, "", "get_anglvel_z"], [68, 2, 1, "", "get_deltaangl_x"], [68, 2, 1, "", "get_deltaangl_y"], [68, 2, 1, "", "get_deltaangl_z"], [68, 2, 1, "", "get_deltavelocity_x"], [68, 2, 1, "", "get_deltavelocity_y"], [68, 2, 1, "", "get_deltavelocity_z"], [68, 2, 1, "", "get_temp"], [68, 3, 1, "", "product_id"], [68, 2, 1, "", "reg_read"], [68, 2, 1, "", "reg_write"], [68, 2, 1, "", "rx"], [68, 3, 1, "", "rx_annotated"], [68, 3, 1, "", "rx_buffer_size"], [68, 3, 1, "", "rx_channel_names"], [68, 2, 1, "", "rx_destroy_buffer"], [68, 3, 1, "", "rx_enabled_channels"], [68, 3, 1, "", "rx_output_type"], [68, 3, 1, "", "sample_rate"], [68, 3, 1, "", "serial_number"], [68, 3, 1, "", "temp_conv"]], "adi.adis16480": [[69, 3, 1, "", "accel_x_calibbias"], [69, 3, 1, "", "accel_x_calibscale"], [69, 3, 1, "", "accel_x_conv"], [69, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [69, 3, 1, "", "accel_y_calibbias"], [69, 3, 1, "", "accel_y_calibscale"], [69, 3, 1, "", "accel_y_conv"], [69, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [69, 3, 1, "", "accel_z_calibbias"], [69, 3, 1, "", "accel_z_calibscale"], [69, 3, 1, "", "accel_z_conv"], [69, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [69, 3, 1, "", "anglvel_x_calibbias"], [69, 3, 1, "", "anglvel_x_calibscale"], [69, 3, 1, "", "anglvel_x_conv"], [69, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [69, 3, 1, "", "anglvel_y_calibbias"], [69, 3, 1, "", "anglvel_y_calibscale"], [69, 3, 1, "", "anglvel_y_conv"], [69, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [69, 3, 1, "", "anglvel_z_calibbias"], [69, 3, 1, "", "anglvel_z_calibscale"], [69, 3, 1, "", "anglvel_z_conv"], [69, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [69, 4, 1, "", "compatible_parts"], [69, 3, 1, "", "ctx"], [69, 3, 1, "", "current_timestamp_clock"], [69, 3, 1, "", "deltaangl_x_conv"], [69, 3, 1, "", "deltaangl_y_conv"], [69, 3, 1, "", "deltaangl_z_conv"], [69, 3, 1, "", "deltavelocity_x_conv"], [69, 3, 1, "", "deltavelocity_y_conv"], [69, 3, 1, "", "deltavelocity_z_conv"], [69, 4, 1, "", "disable_trigger"], [69, 3, 1, "", "firmware_date"], [69, 3, 1, "", "firmware_revision"], [69, 3, 1, "", "flash_count"], [69, 2, 1, "", "get_accel_x"], [69, 2, 1, "", "get_accel_y"], [69, 2, 1, "", "get_accel_z"], [69, 2, 1, "", "get_anglvel_x"], [69, 2, 1, "", "get_anglvel_y"], [69, 2, 1, "", "get_anglvel_z"], [69, 2, 1, "", "get_deltaangl_x"], [69, 2, 1, "", "get_deltaangl_y"], [69, 2, 1, "", "get_deltaangl_z"], [69, 2, 1, "", "get_deltavelocity_x"], [69, 2, 1, "", "get_deltavelocity_y"], [69, 2, 1, "", "get_deltavelocity_z"], [69, 2, 1, "", "get_magn_x"], [69, 2, 1, "", "get_magn_y"], [69, 2, 1, "", "get_magn_z"], [69, 2, 1, "", "get_pressure"], [69, 2, 1, "", "get_temp"], [69, 3, 1, "", "magn_x_calibbias"], [69, 3, 1, "", "magn_x_conv"], [69, 3, 1, "", "magn_x_filter_low_pass_3db_frequency"], [69, 3, 1, "", "magn_y_calibbias"], [69, 3, 1, "", "magn_y_conv"], [69, 3, 1, "", "magn_y_filter_low_pass_3db_frequency"], [69, 3, 1, "", "magn_z_calibbias"], [69, 3, 1, "", "magn_z_conv"], [69, 3, 1, "", "magn_z_filter_low_pass_3db_frequency"], [69, 3, 1, "", "pressure_calibbias"], [69, 3, 1, "", "pressure_conv"], [69, 3, 1, "", "product_id"], [69, 2, 1, "", "rx"], [69, 3, 1, "", "rx_annotated"], [69, 3, 1, "", "rx_buffer_size"], [69, 3, 1, "", "rx_channel_names"], [69, 2, 1, "", "rx_destroy_buffer"], [69, 3, 1, "", "rx_enabled_channels"], [69, 3, 1, "", "rx_output_type"], [69, 3, 1, "", "sample_rate"], [69, 3, 1, "", "serial_number"], [69, 3, 1, "", "temp_conv"]], "adi.adis16485": [[70, 3, 1, "", "accel_x_calibbias"], [70, 3, 1, "", "accel_x_calibscale"], [70, 3, 1, "", "accel_x_conv"], [70, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [70, 3, 1, "", "accel_y_calibbias"], [70, 3, 1, "", "accel_y_calibscale"], [70, 3, 1, "", "accel_y_conv"], [70, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [70, 3, 1, "", "accel_z_calibbias"], [70, 3, 1, "", "accel_z_calibscale"], [70, 3, 1, "", "accel_z_conv"], [70, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [70, 3, 1, "", "anglvel_x_calibbias"], [70, 3, 1, "", "anglvel_x_calibscale"], [70, 3, 1, "", "anglvel_x_conv"], [70, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [70, 3, 1, "", "anglvel_y_calibbias"], [70, 3, 1, "", "anglvel_y_calibscale"], [70, 3, 1, "", "anglvel_y_conv"], [70, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [70, 3, 1, "", "anglvel_z_calibbias"], [70, 3, 1, "", "anglvel_z_calibscale"], [70, 3, 1, "", "anglvel_z_conv"], [70, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [70, 4, 1, "", "compatible_parts"], [70, 3, 1, "", "ctx"], [70, 3, 1, "", "current_timestamp_clock"], [70, 3, 1, "", "deltaangl_x_conv"], [70, 3, 1, "", "deltaangl_y_conv"], [70, 3, 1, "", "deltaangl_z_conv"], [70, 3, 1, "", "deltavelocity_x_conv"], [70, 3, 1, "", "deltavelocity_y_conv"], [70, 3, 1, "", "deltavelocity_z_conv"], [70, 4, 1, "", "disable_trigger"], [70, 3, 1, "", "firmware_date"], [70, 3, 1, "", "firmware_revision"], [70, 3, 1, "", "flash_count"], [70, 2, 1, "", "get_accel_x"], [70, 2, 1, "", "get_accel_y"], [70, 2, 1, "", "get_accel_z"], [70, 2, 1, "", "get_anglvel_x"], [70, 2, 1, "", "get_anglvel_y"], [70, 2, 1, "", "get_anglvel_z"], [70, 2, 1, "", "get_deltaangl_x"], [70, 2, 1, "", "get_deltaangl_y"], [70, 2, 1, "", "get_deltaangl_z"], [70, 2, 1, "", "get_deltavelocity_x"], [70, 2, 1, "", "get_deltavelocity_y"], [70, 2, 1, "", "get_deltavelocity_z"], [70, 2, 1, "", "get_temp"], [70, 3, 1, "", "product_id"], [70, 2, 1, "", "rx"], [70, 3, 1, "", "rx_annotated"], [70, 3, 1, "", "rx_buffer_size"], [70, 3, 1, "", "rx_channel_names"], [70, 2, 1, "", "rx_destroy_buffer"], [70, 3, 1, "", "rx_enabled_channels"], [70, 3, 1, "", "rx_output_type"], [70, 3, 1, "", "sample_rate"], [70, 3, 1, "", "serial_number"], [70, 3, 1, "", "temp_conv"]], "adi.adis16488": [[71, 3, 1, "", "accel_x_calibbias"], [71, 3, 1, "", "accel_x_calibscale"], [71, 3, 1, "", "accel_x_conv"], [71, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [71, 3, 1, "", "accel_y_calibbias"], [71, 3, 1, "", "accel_y_calibscale"], [71, 3, 1, "", "accel_y_conv"], [71, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [71, 3, 1, "", "accel_z_calibbias"], [71, 3, 1, "", "accel_z_calibscale"], [71, 3, 1, "", "accel_z_conv"], [71, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [71, 3, 1, "", "anglvel_x_calibbias"], [71, 3, 1, "", "anglvel_x_calibscale"], [71, 3, 1, "", "anglvel_x_conv"], [71, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [71, 3, 1, "", "anglvel_y_calibbias"], [71, 3, 1, "", "anglvel_y_calibscale"], [71, 3, 1, "", "anglvel_y_conv"], [71, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [71, 3, 1, "", "anglvel_z_calibbias"], [71, 3, 1, "", "anglvel_z_calibscale"], [71, 3, 1, "", "anglvel_z_conv"], [71, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [71, 4, 1, "", "compatible_parts"], [71, 3, 1, "", "ctx"], [71, 3, 1, "", "current_timestamp_clock"], [71, 3, 1, "", "deltaangl_x_conv"], [71, 3, 1, "", "deltaangl_y_conv"], [71, 3, 1, "", "deltaangl_z_conv"], [71, 3, 1, "", "deltavelocity_x_conv"], [71, 3, 1, "", "deltavelocity_y_conv"], [71, 3, 1, "", "deltavelocity_z_conv"], [71, 4, 1, "", "disable_trigger"], [71, 3, 1, "", "firmware_date"], [71, 3, 1, "", "firmware_revision"], [71, 3, 1, "", "flash_count"], [71, 2, 1, "", "get_accel_x"], [71, 2, 1, "", "get_accel_y"], [71, 2, 1, "", "get_accel_z"], [71, 2, 1, "", "get_anglvel_x"], [71, 2, 1, "", "get_anglvel_y"], [71, 2, 1, "", "get_anglvel_z"], [71, 2, 1, "", "get_deltaangl_x"], [71, 2, 1, "", "get_deltaangl_y"], [71, 2, 1, "", "get_deltaangl_z"], [71, 2, 1, "", "get_deltavelocity_x"], [71, 2, 1, "", "get_deltavelocity_y"], [71, 2, 1, "", "get_deltavelocity_z"], [71, 2, 1, "", "get_magn_x"], [71, 2, 1, "", "get_magn_y"], [71, 2, 1, "", "get_magn_z"], [71, 2, 1, "", "get_pressure"], [71, 2, 1, "", "get_temp"], [71, 3, 1, "", "magn_x_calibbias"], [71, 3, 1, "", "magn_x_conv"], [71, 3, 1, "", "magn_x_filter_low_pass_3db_frequency"], [71, 3, 1, "", "magn_y_calibbias"], [71, 3, 1, "", "magn_y_conv"], [71, 3, 1, "", "magn_y_filter_low_pass_3db_frequency"], [71, 3, 1, "", "magn_z_calibbias"], [71, 3, 1, "", "magn_z_conv"], [71, 3, 1, "", "magn_z_filter_low_pass_3db_frequency"], [71, 3, 1, "", "pressure_calibbias"], [71, 3, 1, "", "pressure_conv"], [71, 3, 1, "", "product_id"], [71, 2, 1, "", "rx"], [71, 3, 1, "", "rx_annotated"], [71, 3, 1, "", "rx_buffer_size"], [71, 3, 1, "", "rx_channel_names"], [71, 2, 1, "", "rx_destroy_buffer"], [71, 3, 1, "", "rx_enabled_channels"], [71, 3, 1, "", "rx_output_type"], [71, 3, 1, "", "sample_rate"], [71, 3, 1, "", "serial_number"], [71, 3, 1, "", "temp_conv"]], "adi.adis16490": [[72, 3, 1, "", "accel_x_calibbias"], [72, 3, 1, "", "accel_x_calibscale"], [72, 3, 1, "", "accel_x_conv"], [72, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [72, 3, 1, "", "accel_y_calibbias"], [72, 3, 1, "", "accel_y_calibscale"], [72, 3, 1, "", "accel_y_conv"], [72, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [72, 3, 1, "", "accel_z_calibbias"], [72, 3, 1, "", "accel_z_calibscale"], [72, 3, 1, "", "accel_z_conv"], [72, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [72, 3, 1, "", "anglvel_x_calibbias"], [72, 3, 1, "", "anglvel_x_calibscale"], [72, 3, 1, "", "anglvel_x_conv"], [72, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [72, 3, 1, "", "anglvel_y_calibbias"], [72, 3, 1, "", "anglvel_y_calibscale"], [72, 3, 1, "", "anglvel_y_conv"], [72, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [72, 3, 1, "", "anglvel_z_calibbias"], [72, 3, 1, "", "anglvel_z_calibscale"], [72, 3, 1, "", "anglvel_z_conv"], [72, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [72, 4, 1, "", "compatible_parts"], [72, 3, 1, "", "ctx"], [72, 3, 1, "", "current_timestamp_clock"], [72, 3, 1, "", "deltaangl_x_conv"], [72, 3, 1, "", "deltaangl_y_conv"], [72, 3, 1, "", "deltaangl_z_conv"], [72, 3, 1, "", "deltavelocity_x_conv"], [72, 3, 1, "", "deltavelocity_y_conv"], [72, 3, 1, "", "deltavelocity_z_conv"], [72, 4, 1, "", "disable_trigger"], [72, 3, 1, "", "firmware_date"], [72, 3, 1, "", "firmware_revision"], [72, 3, 1, "", "flash_count"], [72, 2, 1, "", "get_accel_x"], [72, 2, 1, "", "get_accel_y"], [72, 2, 1, "", "get_accel_z"], [72, 2, 1, "", "get_anglvel_x"], [72, 2, 1, "", "get_anglvel_y"], [72, 2, 1, "", "get_anglvel_z"], [72, 2, 1, "", "get_deltaangl_x"], [72, 2, 1, "", "get_deltaangl_y"], [72, 2, 1, "", "get_deltaangl_z"], [72, 2, 1, "", "get_deltavelocity_x"], [72, 2, 1, "", "get_deltavelocity_y"], [72, 2, 1, "", "get_deltavelocity_z"], [72, 2, 1, "", "get_temp"], [72, 3, 1, "", "product_id"], [72, 2, 1, "", "rx"], [72, 3, 1, "", "rx_annotated"], [72, 3, 1, "", "rx_buffer_size"], [72, 3, 1, "", "rx_channel_names"], [72, 2, 1, "", "rx_destroy_buffer"], [72, 3, 1, "", "rx_enabled_channels"], [72, 3, 1, "", "rx_output_type"], [72, 3, 1, "", "sample_rate"], [72, 3, 1, "", "serial_number"], [72, 3, 1, "", "temp_conv"]], "adi.adis16495": [[73, 3, 1, "", "accel_x_calibbias"], [73, 3, 1, "", "accel_x_calibscale"], [73, 3, 1, "", "accel_x_conv"], [73, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [73, 3, 1, "", "accel_y_calibbias"], [73, 3, 1, "", "accel_y_calibscale"], [73, 3, 1, "", "accel_y_conv"], [73, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [73, 3, 1, "", "accel_z_calibbias"], [73, 3, 1, "", "accel_z_calibscale"], [73, 3, 1, "", "accel_z_conv"], [73, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [73, 3, 1, "", "anglvel_x_calibbias"], [73, 3, 1, "", "anglvel_x_calibscale"], [73, 3, 1, "", "anglvel_x_conv"], [73, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [73, 3, 1, "", "anglvel_y_calibbias"], [73, 3, 1, "", "anglvel_y_calibscale"], [73, 3, 1, "", "anglvel_y_conv"], [73, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [73, 3, 1, "", "anglvel_z_calibbias"], [73, 3, 1, "", "anglvel_z_calibscale"], [73, 3, 1, "", "anglvel_z_conv"], [73, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [73, 4, 1, "", "compatible_parts"], [73, 3, 1, "", "ctx"], [73, 3, 1, "", "current_timestamp_clock"], [73, 3, 1, "", "deltaangl_x_conv"], [73, 3, 1, "", "deltaangl_y_conv"], [73, 3, 1, "", "deltaangl_z_conv"], [73, 3, 1, "", "deltavelocity_x_conv"], [73, 3, 1, "", "deltavelocity_y_conv"], [73, 3, 1, "", "deltavelocity_z_conv"], [73, 4, 1, "", "disable_trigger"], [73, 3, 1, "", "firmware_date"], [73, 3, 1, "", "firmware_revision"], [73, 3, 1, "", "flash_count"], [73, 2, 1, "", "get_accel_x"], [73, 2, 1, "", "get_accel_y"], [73, 2, 1, "", "get_accel_z"], [73, 2, 1, "", "get_anglvel_x"], [73, 2, 1, "", "get_anglvel_y"], [73, 2, 1, "", "get_anglvel_z"], [73, 2, 1, "", "get_deltaangl_x"], [73, 2, 1, "", "get_deltaangl_y"], [73, 2, 1, "", "get_deltaangl_z"], [73, 2, 1, "", "get_deltavelocity_x"], [73, 2, 1, "", "get_deltavelocity_y"], [73, 2, 1, "", "get_deltavelocity_z"], [73, 2, 1, "", "get_temp"], [73, 3, 1, "", "product_id"], [73, 2, 1, "", "rx"], [73, 3, 1, "", "rx_annotated"], [73, 3, 1, "", "rx_buffer_size"], [73, 3, 1, "", "rx_channel_names"], [73, 2, 1, "", "rx_destroy_buffer"], [73, 3, 1, "", "rx_enabled_channels"], [73, 3, 1, "", "rx_output_type"], [73, 3, 1, "", "sample_rate"], [73, 3, 1, "", "serial_number"], [73, 3, 1, "", "temp_conv"]], "adi.adis16497": [[74, 3, 1, "", "accel_x_calibbias"], [74, 3, 1, "", "accel_x_calibscale"], [74, 3, 1, "", "accel_x_conv"], [74, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [74, 3, 1, "", "accel_y_calibbias"], [74, 3, 1, "", "accel_y_calibscale"], [74, 3, 1, "", "accel_y_conv"], [74, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [74, 3, 1, "", "accel_z_calibbias"], [74, 3, 1, "", "accel_z_calibscale"], [74, 3, 1, "", "accel_z_conv"], [74, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [74, 3, 1, "", "anglvel_x_calibbias"], [74, 3, 1, "", "anglvel_x_calibscale"], [74, 3, 1, "", "anglvel_x_conv"], [74, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [74, 3, 1, "", "anglvel_y_calibbias"], [74, 3, 1, "", "anglvel_y_calibscale"], [74, 3, 1, "", "anglvel_y_conv"], [74, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [74, 3, 1, "", "anglvel_z_calibbias"], [74, 3, 1, "", "anglvel_z_calibscale"], [74, 3, 1, "", "anglvel_z_conv"], [74, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [74, 4, 1, "", "compatible_parts"], [74, 3, 1, "", "ctx"], [74, 3, 1, "", "current_timestamp_clock"], [74, 3, 1, "", "deltaangl_x_conv"], [74, 3, 1, "", "deltaangl_y_conv"], [74, 3, 1, "", "deltaangl_z_conv"], [74, 3, 1, "", "deltavelocity_x_conv"], [74, 3, 1, "", "deltavelocity_y_conv"], [74, 3, 1, "", "deltavelocity_z_conv"], [74, 4, 1, "", "disable_trigger"], [74, 3, 1, "", "firmware_date"], [74, 3, 1, "", "firmware_revision"], [74, 3, 1, "", "flash_count"], [74, 2, 1, "", "get_accel_x"], [74, 2, 1, "", "get_accel_y"], [74, 2, 1, "", "get_accel_z"], [74, 2, 1, "", "get_anglvel_x"], [74, 2, 1, "", "get_anglvel_y"], [74, 2, 1, "", "get_anglvel_z"], [74, 2, 1, "", "get_deltaangl_x"], [74, 2, 1, "", "get_deltaangl_y"], [74, 2, 1, "", "get_deltaangl_z"], [74, 2, 1, "", "get_deltavelocity_x"], [74, 2, 1, "", "get_deltavelocity_y"], [74, 2, 1, "", "get_deltavelocity_z"], [74, 2, 1, "", "get_temp"], [74, 3, 1, "", "product_id"], [74, 2, 1, "", "rx"], [74, 3, 1, "", "rx_annotated"], [74, 3, 1, "", "rx_buffer_size"], [74, 3, 1, "", "rx_channel_names"], [74, 2, 1, "", "rx_destroy_buffer"], [74, 3, 1, "", "rx_enabled_channels"], [74, 3, 1, "", "rx_output_type"], [74, 3, 1, "", "sample_rate"], [74, 3, 1, "", "serial_number"], [74, 3, 1, "", "temp_conv"]], "adi.adis16507": [[75, 1, 1, "", "adis16507"]], "adi.adis16507.adis16507": [[75, 3, 1, "", "current_timestamp_clock"], [75, 3, 1, "", "filter_low_pass_3db_frequency"], [75, 3, 1, "", "sample_rate"]], "adi.adis16545": [[76, 3, 1, "", "accel_x_calibbias"], [76, 3, 1, "", "accel_x_calibscale"], [76, 3, 1, "", "accel_x_conv"], [76, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [76, 3, 1, "", "accel_y_calibbias"], [76, 3, 1, "", "accel_y_calibscale"], [76, 3, 1, "", "accel_y_conv"], [76, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [76, 3, 1, "", "accel_z_calibbias"], [76, 3, 1, "", "accel_z_calibscale"], [76, 3, 1, "", "accel_z_conv"], [76, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [76, 3, 1, "", "anglvel_x_calibbias"], [76, 3, 1, "", "anglvel_x_calibscale"], [76, 3, 1, "", "anglvel_x_conv"], [76, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [76, 3, 1, "", "anglvel_y_calibbias"], [76, 3, 1, "", "anglvel_y_calibscale"], [76, 3, 1, "", "anglvel_y_conv"], [76, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [76, 3, 1, "", "anglvel_z_calibbias"], [76, 3, 1, "", "anglvel_z_calibscale"], [76, 3, 1, "", "anglvel_z_conv"], [76, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [76, 4, 1, "", "compatible_parts"], [76, 3, 1, "", "ctx"], [76, 3, 1, "", "current_timestamp_clock"], [76, 3, 1, "", "deltaangl_x_conv"], [76, 3, 1, "", "deltaangl_y_conv"], [76, 3, 1, "", "deltaangl_z_conv"], [76, 3, 1, "", "deltavelocity_x_conv"], [76, 3, 1, "", "deltavelocity_y_conv"], [76, 3, 1, "", "deltavelocity_z_conv"], [76, 4, 1, "", "disable_trigger"], [76, 3, 1, "", "firmware_date"], [76, 3, 1, "", "firmware_revision"], [76, 3, 1, "", "flash_count"], [76, 2, 1, "", "get_accel_x"], [76, 2, 1, "", "get_accel_y"], [76, 2, 1, "", "get_accel_z"], [76, 2, 1, "", "get_anglvel_x"], [76, 2, 1, "", "get_anglvel_y"], [76, 2, 1, "", "get_anglvel_z"], [76, 2, 1, "", "get_deltaangl_x"], [76, 2, 1, "", "get_deltaangl_y"], [76, 2, 1, "", "get_deltaangl_z"], [76, 2, 1, "", "get_deltavelocity_x"], [76, 2, 1, "", "get_deltavelocity_y"], [76, 2, 1, "", "get_deltavelocity_z"], [76, 2, 1, "", "get_temp"], [76, 3, 1, "", "product_id"], [76, 2, 1, "", "rx"], [76, 3, 1, "", "rx_annotated"], [76, 3, 1, "", "rx_buffer_size"], [76, 3, 1, "", "rx_channel_names"], [76, 2, 1, "", "rx_destroy_buffer"], [76, 3, 1, "", "rx_enabled_channels"], [76, 3, 1, "", "rx_output_type"], [76, 3, 1, "", "sample_rate"], [76, 3, 1, "", "serial_number"], [76, 3, 1, "", "temp_conv"]], "adi.adis16547": [[77, 3, 1, "", "accel_x_calibbias"], [77, 3, 1, "", "accel_x_calibscale"], [77, 3, 1, "", "accel_x_conv"], [77, 3, 1, "", "accel_x_filter_low_pass_3db_frequency"], [77, 3, 1, "", "accel_y_calibbias"], [77, 3, 1, "", "accel_y_calibscale"], [77, 3, 1, "", "accel_y_conv"], [77, 3, 1, "", "accel_y_filter_low_pass_3db_frequency"], [77, 3, 1, "", "accel_z_calibbias"], [77, 3, 1, "", "accel_z_calibscale"], [77, 3, 1, "", "accel_z_conv"], [77, 3, 1, "", "accel_z_filter_low_pass_3db_frequency"], [77, 3, 1, "", "anglvel_x_calibbias"], [77, 3, 1, "", "anglvel_x_calibscale"], [77, 3, 1, "", "anglvel_x_conv"], [77, 3, 1, "", "anglvel_x_filter_low_pass_3db_frequency"], [77, 3, 1, "", "anglvel_y_calibbias"], [77, 3, 1, "", "anglvel_y_calibscale"], [77, 3, 1, "", "anglvel_y_conv"], [77, 3, 1, "", "anglvel_y_filter_low_pass_3db_frequency"], [77, 3, 1, "", "anglvel_z_calibbias"], [77, 3, 1, "", "anglvel_z_calibscale"], [77, 3, 1, "", "anglvel_z_conv"], [77, 3, 1, "", "anglvel_z_filter_low_pass_3db_frequency"], [77, 4, 1, "", "compatible_parts"], [77, 3, 1, "", "ctx"], [77, 3, 1, "", "current_timestamp_clock"], [77, 3, 1, "", "deltaangl_x_conv"], [77, 3, 1, "", "deltaangl_y_conv"], [77, 3, 1, "", "deltaangl_z_conv"], [77, 3, 1, "", "deltavelocity_x_conv"], [77, 3, 1, "", "deltavelocity_y_conv"], [77, 3, 1, "", "deltavelocity_z_conv"], [77, 4, 1, "", "disable_trigger"], [77, 3, 1, "", "firmware_date"], [77, 3, 1, "", "firmware_revision"], [77, 3, 1, "", "flash_count"], [77, 2, 1, "", "get_accel_x"], [77, 2, 1, "", "get_accel_y"], [77, 2, 1, "", "get_accel_z"], [77, 2, 1, "", "get_anglvel_x"], [77, 2, 1, "", "get_anglvel_y"], [77, 2, 1, "", "get_anglvel_z"], [77, 2, 1, "", "get_deltaangl_x"], [77, 2, 1, "", "get_deltaangl_y"], [77, 2, 1, "", "get_deltaangl_z"], [77, 2, 1, "", "get_deltavelocity_x"], [77, 2, 1, "", "get_deltavelocity_y"], [77, 2, 1, "", "get_deltavelocity_z"], [77, 2, 1, "", "get_temp"], [77, 3, 1, "", "product_id"], [77, 2, 1, "", "rx"], [77, 3, 1, "", "rx_annotated"], [77, 3, 1, "", "rx_buffer_size"], [77, 3, 1, "", "rx_channel_names"], [77, 2, 1, "", "rx_destroy_buffer"], [77, 3, 1, "", "rx_enabled_channels"], [77, 3, 1, "", "rx_output_type"], [77, 3, 1, "", "sample_rate"], [77, 3, 1, "", "serial_number"], [77, 3, 1, "", "temp_conv"]], "adi.adl5240": [[78, 1, 1, "", "adl5240"]], "adi.adl5240.adl5240": [[78, 3, 1, "", "hardwaregain"]], "adi.adl5960": [[79, 1, 1, "", "adl5960"]], "adi.adl5960.adl5960": [[79, 3, 1, "", "forward_gain"], [79, 3, 1, "", "if_filter_cutoff"], [79, 3, 1, "", "if_frequency"], [79, 3, 1, "", "lo_frequency"], [79, 3, 1, "", "lo_mode"], [79, 3, 1, "", "lo_mode_available"], [79, 3, 1, "", "offset_frequency"], [79, 3, 1, "", "offset_mode"], [79, 3, 1, "", "offset_mode_available"], [79, 3, 1, "", "reflected_gain"], [79, 2, 1, "", "reg_read"], [79, 2, 1, "", "reg_write"], [79, 3, 1, "", "temperature"]], "adi.admv8818": [[80, 1, 1, "", "admv8818"]], "adi.admv8818.admv8818": [[80, 3, 1, "", "band_pass_bandwidth_3db_frequency"], [80, 3, 1, "", "band_pass_center_frequency"], [80, 3, 1, "", "high_pass_3db_frequency"], [80, 3, 1, "", "low_pass_3db_frequency"], [80, 3, 1, "", "mode"], [80, 3, 1, "", "mode_available"], [80, 2, 1, "", "reg_read"], [80, 2, 1, "", "reg_write"]], "adi.adpd1080": [[81, 1, 1, "", "adpd1080"]], "adi.adpd1080.adpd1080": [[81, 4, 1, "", "channel"], [81, 2, 1, "", "rx"], [81, 3, 1, "", "sample_rate"]], "adi.adpd188": [[82, 1, 1, "", "adpd188"]], "adi.adpd188.adpd188": [[82, 4, 1, "", "channel"], [82, 3, 1, "", "mode"], [82, 3, 1, "", "sample_rate"]], "adi.adpd410x": [[83, 1, 1, "", "adpd410x"]], "adi.adpd410x.adpd410x": [[83, 4, 1, "", "channel"], [83, 3, 1, "", "last_timeslot"], [83, 3, 1, "", "operation_mode"], [83, 3, 1, "", "sampling_frequency"]], "adi.adrf5720": [[84, 1, 1, "", "adrf5720"]], "adi.adrf5720.adrf5720": [[84, 3, 1, "", "attenuation"], [84, 4, 1, "", "channel"]], "adi.adrv9002": [[85, 1, 1, "", "adrv9002"], [85, 5, 1, "", "rx1"], [85, 5, 1, "", "rx2"], [85, 5, 1, "", "tx1"], [85, 5, 1, "", "tx2"]], "adi.adrv9002.adrv9002": [[85, 3, 1, "", "agc_tracking_en_chan0"], [85, 3, 1, "", "agc_tracking_en_chan1"], [85, 3, 1, "", "api_version"], [85, 3, 1, "", "atten_control_mode_chan0"], [85, 3, 1, "", "atten_control_mode_chan1"], [85, 3, 1, "", "bbdc_rejection_tracking_en_chan0"], [85, 3, 1, "", "bbdc_rejection_tracking_en_chan1"], [85, 3, 1, "", "close_loop_gain_tracking_en_chan0"], [85, 3, 1, "", "close_loop_gain_tracking_en_chan1"], [85, 3, 1, "", "digital_gain_control_mode_chan0"], [85, 3, 1, "", "digital_gain_control_mode_chan1"], [85, 3, 1, "", "gain_control_mode_chan0"], [85, 3, 1, "", "gain_control_mode_chan1"], [85, 3, 1, "", "hd_tracking_en_chan0"], [85, 3, 1, "", "hd_tracking_en_chan1"], [85, 3, 1, "", "interface_gain_chan0"], [85, 3, 1, "", "interface_gain_chan1"], [85, 3, 1, "", "lo_leakage_tracking_en_chan0"], [85, 3, 1, "", "lo_leakage_tracking_en_chan1"], [85, 3, 1, "", "loopback_delay_tracking_en_chan0"], [85, 3, 1, "", "loopback_delay_tracking_en_chan1"], [85, 3, 1, "", "pa_correction_tracking_en_chan0"], [85, 3, 1, "", "pa_correction_tracking_en_chan1"], [85, 3, 1, "", "profile"], [85, 3, 1, "", "quadrature_fic_tracking_en_chan0"], [85, 3, 1, "", "quadrature_fic_tracking_en_chan1"], [85, 3, 1, "", "quadrature_tracking_en_chan0"], [85, 3, 1, "", "quadrature_tracking_en_chan1"], [85, 3, 1, "", "quadrature_w_poly_tracking_en_chan0"], [85, 3, 1, "", "quadrature_w_poly_tracking_en_chan1"], [85, 3, 1, "", "rfdc_tracking_en_chan0"], [85, 3, 1, "", "rfdc_tracking_en_chan1"], [85, 3, 1, "", "rssi_tracking_en_chan0"], [85, 3, 1, "", "rssi_tracking_en_chan1"], [85, 3, 1, "", "rx0_en"], [85, 3, 1, "", "rx0_lo"], [85, 3, 1, "", "rx0_nco_frequency"], [85, 3, 1, "", "rx0_port_en"], [85, 3, 1, "", "rx0_rf_bandwidth"], [85, 3, 1, "", "rx0_sample_rate"], [85, 3, 1, "", "rx1_en"], [85, 3, 1, "", "rx1_lo"], [85, 3, 1, "", "rx1_nco_frequency"], [85, 3, 1, "", "rx1_port_en"], [85, 3, 1, "", "rx1_rf_bandwidth"], [85, 3, 1, "", "rx1_sample_rate"], [85, 3, 1, "", "rx_dma_mode"], [85, 3, 1, "", "rx_ensm_mode_chan0"], [85, 3, 1, "", "rx_ensm_mode_chan1"], [85, 3, 1, "", "rx_hardwaregain_chan0"], [85, 3, 1, "", "rx_hardwaregain_chan1"], [85, 3, 1, "", "stream"], [85, 3, 1, "", "tx0_en"], [85, 3, 1, "", "tx0_lo"], [85, 3, 1, "", "tx0_nco_frequency"], [85, 3, 1, "", "tx0_port_en"], [85, 3, 1, "", "tx0_rf_bandwidth"], [85, 3, 1, "", "tx0_sample_rate"], [85, 3, 1, "", "tx1_en"], [85, 3, 1, "", "tx1_lo"], [85, 3, 1, "", "tx1_nco_frequency"], [85, 3, 1, "", "tx1_port_en"], [85, 3, 1, "", "tx1_rf_bandwidth"], [85, 3, 1, "", "tx1_sample_rate"], [85, 3, 1, "", "tx_dma_mode"], [85, 3, 1, "", "tx_ensm_mode_chan0"], [85, 3, 1, "", "tx_ensm_mode_chan1"], [85, 3, 1, "", "tx_hardwaregain_chan0"], [85, 3, 1, "", "tx_hardwaregain_chan1"], [85, 2, 1, "", "write_profile"], [85, 2, 1, "", "write_stream"], [85, 2, 1, "", "write_stream_profile"]], "adi.adrv9009": [[86, 1, 1, "", "adrv9009"]], "adi.adrv9009.adrv9009": [[86, 3, 1, "", "aux_obs_lo"], [86, 3, 1, "", "calibrate"], [86, 3, 1, "", "calibrate_rx_phase_correction_en"], [86, 3, 1, "", "calibrate_rx_qec_en"], [86, 3, 1, "", "calibrate_tx_qec_en"], [86, 3, 1, "", "ensm_mode"], [86, 3, 1, "", "frequency_hopping_mode"], [86, 3, 1, "", "frequency_hopping_mode_en"], [86, 3, 1, "", "gain_control_mode_chan0"], [86, 3, 1, "", "gain_control_mode_chan1"], [86, 3, 1, "", "jesd204_fsm_ctrl"], [86, 3, 1, "", "jesd204_fsm_error"], [86, 3, 1, "", "jesd204_fsm_paused"], [86, 3, 1, "", "jesd204_fsm_resume"], [86, 3, 1, "", "jesd204_fsm_state"], [86, 3, 1, "", "obs_hardwaregain"], [86, 3, 1, "", "obs_powerdown_en"], [86, 3, 1, "", "obs_quadrature_tracking_en"], [86, 3, 1, "", "obs_rf_port_select"], [86, 3, 1, "", "orx_sample_rate"], [86, 3, 1, "", "profile"], [86, 3, 1, "", "rx_hardwaregain_chan0"], [86, 3, 1, "", "rx_hardwaregain_chan1"], [86, 3, 1, "", "rx_powerdown_en_chan0"], [86, 3, 1, "", "rx_powerdown_en_chan1"], [86, 3, 1, "", "rx_quadrature_tracking_en_chan0"], [86, 3, 1, "", "rx_quadrature_tracking_en_chan1"], [86, 3, 1, "", "rx_rf_bandwidth"], [86, 3, 1, "", "rx_sample_rate"], [86, 3, 1, "", "trx_lo"], [86, 3, 1, "", "tx_hardwaregain_chan0"], [86, 3, 1, "", "tx_hardwaregain_chan1"], [86, 3, 1, "", "tx_quadrature_tracking_en_chan0"], [86, 3, 1, "", "tx_quadrature_tracking_en_chan1"], [86, 3, 1, "", "tx_rf_bandwidth"], [86, 3, 1, "", "tx_sample_rate"]], "adi.adrv9009_zu11eg": [[87, 1, 1, "", "adrv9009_zu11eg"]], "adi.adrv9009_zu11eg.adrv9009_zu11eg": [[87, 3, 1, "", "calibrate_chip_b"], [87, 3, 1, "", "calibrate_rx_phase_correction_en_chip_b"], [87, 3, 1, "", "calibrate_rx_qec_en_chip_b"], [87, 3, 1, "", "calibrate_tx_qec_en_chip_b"], [87, 3, 1, "", "frequency_hopping_mode_chip_b"], [87, 3, 1, "", "frequency_hopping_mode_en_chip_b"], [87, 3, 1, "", "gain_control_mode_chan0_chip_b"], [87, 3, 1, "", "gain_control_mode_chan1_chip_b"], [87, 2, 1, "", "mcs_chips"], [87, 3, 1, "", "rx_hardwaregain_chan0_chip_b"], [87, 3, 1, "", "rx_hardwaregain_chan1_chip_b"], [87, 3, 1, "", "rx_rf_bandwidth_chip_b"], [87, 3, 1, "", "rx_sample_rate_chip_b"], [87, 3, 1, "", "trx_lo_chip_b"], [87, 3, 1, "", "tx_hardwaregain_chan0_chip_b"], [87, 3, 1, "", "tx_hardwaregain_chan1_chip_b"], [87, 3, 1, "", "tx_rf_bandwidth_chip_b"], [87, 3, 1, "", "tx_sample_rate_chip_b"]], "adi.adrv9009_zu11eg_fmcomms8": [[88, 1, 1, "", "adrv9009_zu11eg_fmcomms8"]], "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8": [[88, 3, 1, "", "calibrate_chip_c"], [88, 3, 1, "", "calibrate_chip_d"], [88, 3, 1, "", "calibrate_rx_phase_correction_en_chip_c"], [88, 3, 1, "", "calibrate_rx_phase_correction_en_chip_d"], [88, 3, 1, "", "calibrate_rx_qec_en_chip_c"], [88, 3, 1, "", "calibrate_rx_qec_en_chip_d"], [88, 3, 1, "", "calibrate_tx_qec_en_chip_c"], [88, 3, 1, "", "calibrate_tx_qec_en_chip_d"], [88, 3, 1, "", "gain_control_mode_chan0_chip_c"], [88, 3, 1, "", "gain_control_mode_chan0_chip_d"], [88, 3, 1, "", "gain_control_mode_chan1_chip_c"], [88, 3, 1, "", "gain_control_mode_chan1_chip_d"], [88, 2, 1, "", "mcs_chips"], [88, 3, 1, "", "rx_hardwaregain_chan0_chip_c"], [88, 3, 1, "", "rx_hardwaregain_chan0_chip_d"], [88, 3, 1, "", "rx_hardwaregain_chan1_chip_c"], [88, 3, 1, "", "rx_hardwaregain_chan1_chip_d"], [88, 3, 1, "", "rx_rf_bandwidth_chip_c"], [88, 3, 1, "", "rx_rf_bandwidth_chip_d"], [88, 3, 1, "", "rx_sample_rate_chip_c"], [88, 3, 1, "", "rx_sample_rate_chip_d"], [88, 3, 1, "", "trx_lo_chip_c"], [88, 3, 1, "", "trx_lo_chip_d"], [88, 3, 1, "", "tx_hardwaregain_chan0_chip_c"], [88, 3, 1, "", "tx_hardwaregain_chan0_chip_d"], [88, 3, 1, "", "tx_hardwaregain_chan1_chip_c"], [88, 3, 1, "", "tx_hardwaregain_chan1_chip_d"], [88, 3, 1, "", "tx_rf_bandwidth_chip_c"], [88, 3, 1, "", "tx_rf_bandwidth_chip_d"], [88, 3, 1, "", "tx_sample_rate_chip_c"], [88, 3, 1, "", "tx_sample_rate_chip_d"]], "adi.adrv9009_zu11eg_multi": [[89, 1, 1, "", "adrv9009_zu11eg_multi"]], "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi": [[89, 2, 1, "", "hmc7044_cap_sel"], [89, 2, 1, "", "hmc7044_car_output_delay"], [89, 2, 1, "", "hmc7044_ext_output_delay"], [89, 2, 1, "", "hmc7044_set_cap_sel"], [89, 2, 1, "", "reinitialize"], [89, 2, 1, "", "rx"], [89, 3, 1, "", "rx_buffer_size"], [89, 4, 1, "", "secondaries"], [89, 2, 1, "", "set_trx_framer_a_loopback"], [89, 2, 1, "", "set_trx_lo_frequency"], [89, 2, 1, "", "sysref_request"]], "adi.adt7420": [[90, 1, 1, "", "adt7420"]], "adi.adxl313": [[91, 1, 1, "", "adxl313"]], "adi.adxl345": [[92, 1, 1, "", "adxl345"]], "adi.adxl345.adxl345": [[92, 3, 1, "", "sampling_frequency"], [92, 3, 1, "", "sampling_frequency_available"]], "adi.adxl355": [[93, 1, 1, "", "adxl355"]], "adi.adxl355.adxl355": [[93, 3, 1, "", "current_timestamp_clock"], [93, 2, 1, "", "to_degrees"]], "adi.adxrs290": [[94, 1, 1, "", "adxrs290"]], "adi.adxrs290.adxrs290": [[94, 3, 1, "", "hpf_3db_frequency"], [94, 3, 1, "", "hpf_3db_frequency_available"], [94, 3, 1, "", "lpf_3db_frequency"], [94, 3, 1, "", "lpf_3db_frequency_available"]], "adi.cn0511": [[95, 1, 1, "", "cn0511"]], "adi.cn0511.cn0511": [[95, 3, 1, "", "amp_enable"], [95, 3, 1, "", "amplitude_cal"], [95, 3, 1, "", "board_calibrated"], [95, 3, 1, "", "calibrated_output"], [95, 3, 1, "", "trim_frequency_raw"]], "adi.cn0532": [[96, 1, 1, "", "cn0532"]], "adi.cn0532.cn0532": [[96, 2, 1, "", "calibrate"]], "adi.cn0540": [[97, 1, 1, "", "cn0540"], [97, 5, 1, "", "reset_buffer"]], "adi.cn0540.cn0540": [[97, 3, 1, "", "fda_disable_status"], [97, 3, 1, "", "fda_mode"], [97, 3, 1, "", "input_voltage"], [97, 3, 1, "", "monitor_powerup"], [97, 3, 1, "", "red_led_enable"], [97, 3, 1, "", "sample_rate"], [97, 3, 1, "", "sensor_voltage"], [97, 3, 1, "", "shift_voltage"], [97, 3, 1, "", "sw_cc"], [97, 3, 1, "", "sw_ff_status"]], "adi.cn0554": [[98, 1, 1, "", "cn0554"]], "adi.cn0554.cn0554": [[98, 4, 1, "", "adc_in_channels"], [98, 2, 1, "", "convert_to_volts"], [98, 4, 1, "", "dac_out_channels"], [98, 3, 1, "", "in_channels"], [98, 3, 1, "", "in_scale"], [98, 3, 1, "", "out_channels"], [98, 3, 1, "", "out_reference"], [98, 2, 1, "", "rx"], [98, 3, 1, "", "rx_buffer_size"], [98, 3, 1, "", "rx_enabled_channels"], [98, 3, 1, "", "rx_output_type"], [98, 3, 1, "", "sample_rate"]], "adi.cn0556": [[99, 1, 1, "", "cn0556"]], "adi.cn0556.cn0556": [[99, 3, 1, "", "boost_input_current"], [99, 3, 1, "", "boost_input_current_limit"], [99, 3, 1, "", "boost_input_undervoltage"], [99, 3, 1, "", "boost_input_voltage"], [99, 3, 1, "", "boost_output_current"], [99, 3, 1, "", "boost_output_current_limit"], [99, 3, 1, "", "boost_output_voltage"], [99, 3, 1, "", "boost_target_output_voltage"], [99, 3, 1, "", "buck_input_current"], [99, 3, 1, "", "buck_input_current_limit"], [99, 3, 1, "", "buck_input_undervoltage"], [99, 3, 1, "", "buck_input_voltage"], [99, 3, 1, "", "buck_output_current"], [99, 3, 1, "", "buck_output_current_limit"], [99, 3, 1, "", "buck_output_voltage"], [99, 3, 1, "", "buck_target_output_voltage"], [99, 3, 1, "", "drxn"], [99, 3, 1, "", "enable"], [99, 3, 1, "", "fault"], [99, 3, 1, "", "intvcc_voltage"], [99, 2, 1, "", "read_value"], [99, 3, 1, "", "report"], [99, 2, 1, "", "set_value"], [99, 3, 1, "", "share_voltage"]], "adi.cn0565": [[100, 1, 1, "", "cn0565"]], "adi.cn0565.cn0565": [[100, 3, 1, "", "all_voltages"], [100, 3, 1, "", "electrode_count"], [100, 3, 1, "", "electrode_count_available"], [100, 3, 1, "", "force_distance"], [100, 3, 1, "", "sense_distance"], [100, 3, 1, "", "switch_sequence"]], "adi.cn0566": [[101, 1, 1, "", "CN0566"]], "adi.cn0566.CN0566": [[101, 2, 1, "", "SDR_init"], [101, 4, 1, "", "c"], [101, 4, 1, "", "ccal"], [101, 2, 1, "", "configure"], [101, 4, 1, "", "device_mode"], [101, 4, 1, "", "element_spacing"], [101, 4, 1, "", "gcal"], [101, 3, 1, "", "lo"], [101, 2, 1, "", "load_channel_cal"], [101, 2, 1, "", "load_gain_cal"], [101, 2, 1, "", "load_phase_cal"], [101, 4, 1, "", "num_elements"], [101, 4, 1, "", "pcal"], [101, 4, 1, "", "phase_step_size"], [101, 2, 1, "", "read_monitor"], [101, 2, 1, "", "save_channel_cal"], [101, 2, 1, "", "save_gain_cal"], [101, 2, 1, "", "save_phase_cal"], [101, 2, 1, "", "set_all_gain"], [101, 2, 1, "", "set_beam_phase_diff"], [101, 2, 1, "", "set_chan_gain"], [101, 2, 1, "", "set_chan_phase"], [101, 2, 1, "", "set_rx_hardwaregain"], [101, 2, 1, "", "set_tx_sw_div"]], "adi.cn0575": [[102, 1, 1, "", "cn0575"]], "adi.cn0575.cn0575": [[102, 3, 1, "", "button"], [102, 3, 1, "", "led"]], "adi.cn0579": [[103, 1, 1, "", "cn0579"]], "adi.cn0579.cn0579": [[103, 3, 1, "", "CC_CH0"], [103, 3, 1, "", "CC_CH1"], [103, 3, 1, "", "CC_CH2"], [103, 3, 1, "", "CC_CH3"], [103, 3, 1, "", "shift_voltage0"], [103, 3, 1, "", "shift_voltage1"], [103, 3, 1, "", "shift_voltage2"], [103, 3, 1, "", "shift_voltage3"]], "adi.daq2": [[104, 1, 1, "", "DAQ2"]], "adi.daq3": [[105, 1, 1, "", "DAQ3"]], "adi.dds": [[131, 1, 1, "", "dds"]], "adi.dds.dds": [[131, 2, 1, "", "dds_dual_tone"], [131, 3, 1, "", "dds_enabled"], [131, 3, 1, "", "dds_frequencies"], [131, 3, 1, "", "dds_phases"], [131, 3, 1, "", "dds_scales"], [131, 2, 1, "", "dds_single_tone"], [131, 2, 1, "", "disable_dds"]], "adi.fmc_vna": [[106, 1, 1, "", "fmcvna"]], "adi.fmc_vna.fmcvna": [[106, 4, 1, "", "frontend"]], "adi.fmcadc3": [[107, 1, 1, "", "fmcadc3"]], "adi.fmcjesdadc1": [[108, 1, 1, "", "fmcjesdadc1"]], "adi.fmcjesdadc1.fmcjesdadc1": [[108, 3, 1, "", "jesd204_statuses"], [108, 3, 1, "", "test_mode_chan0"], [108, 3, 1, "", "test_mode_chan1"]], "adi.fmclidar1": [[109, 1, 1, "", "fmclidar1"]], "adi.fmclidar1.fmclidar1": [[109, 3, 1, "", "channel_sequencer_enable_disable"], [109, 3, 1, "", "channel_sequencer_opmode"], [109, 3, 1, "", "channel_sequencer_order_auto_mode"], [109, 3, 1, "", "channel_sequencer_order_manual_mode"], [109, 2, 1, "", "laser_disable"], [109, 2, 1, "", "laser_enable"], [109, 3, 1, "", "laser_frequency"], [109, 3, 1, "", "laser_pulse_width"], [109, 2, 1, "", "rx"], [109, 3, 1, "", "sequencer_pulse_delay"], [109, 2, 1, "", "set_all_iio_attrs_to_default_values"]], "adi.fmcomms11": [[110, 1, 1, "", "FMComms11"]], "adi.fmcomms5": [[111, 1, 1, "", "FMComms5"]], "adi.fmcomms5.FMComms5": [[111, 3, 1, "", "filter"], [111, 3, 1, "", "gain_control_mode_chip_b_chan0"], [111, 3, 1, "", "gain_control_mode_chip_b_chan1"], [111, 3, 1, "", "loopback_chip_b"], [111, 3, 1, "", "rx_hardwaregain_chip_b_chan0"], [111, 3, 1, "", "rx_hardwaregain_chip_b_chan1"], [111, 3, 1, "", "rx_lo_chip_b"], [111, 3, 1, "", "rx_rf_bandwidth_chip_b"], [111, 3, 1, "", "sample_rate"], [111, 3, 1, "", "tx_hardwaregain_chip_b_chan0"], [111, 3, 1, "", "tx_hardwaregain_chip_b_chan1"], [111, 3, 1, "", "tx_lo_chip_b"], [111, 3, 1, "", "tx_rf_bandwidth_chip_b"]], "adi.gen_mux": [[112, 1, 1, "", "genmux"]], "adi.gen_mux.genmux": [[112, 3, 1, "", "select"], [112, 3, 1, "", "select_available"]], "adi.lm75": [[114, 1, 1, "", "lm75"]], "adi.lm75.lm75": [[114, 3, 1, "", "input"], [114, 3, 1, "", "max"], [114, 3, 1, "", "max_hyst"], [114, 2, 1, "", "to_degrees"], [114, 2, 1, "", "to_millidegrees"], [114, 3, 1, "", "update_interval"]], "adi.ltc2314_14": [[115, 1, 1, "", "ltc2314_14"]], "adi.ltc2314_14.ltc2314_14": [[115, 3, 1, "", "lsb_mv"], [115, 3, 1, "", "voltage"]], "adi.ltc2387": [[116, 1, 1, "", "ltc2387"]], "adi.ltc2387.ltc2387": [[116, 3, 1, "", "sampling_frequency"]], "adi.ltc2499": [[117, 1, 1, "", "ltc2499"]], "adi.ltc2499.ltc2499": [[117, 4, 1, "", "channel"]], "adi.ltc2664": [[118, 1, 1, "", "ltc2664"]], "adi.ltc2664.ltc2664": [[118, 4, 1, "", "channel_names"]], "adi.ltc2672": [[119, 1, 1, "", "ltc2672"]], "adi.ltc2672.ltc2672": [[119, 3, 1, "", "all_chns_current"], [119, 3, 1, "", "all_chns_powerdown"], [119, 3, 1, "", "all_chns_powerdown_avail"], [119, 3, 1, "", "all_chns_raw"], [119, 3, 1, "", "all_chns_span"], [119, 3, 1, "", "all_chns_span_avail"], [119, 3, 1, "", "fault_detect"], [119, 3, 1, "", "fault_detect_avail"], [119, 3, 1, "", "mux"], [119, 3, 1, "", "mux_avail"]], "adi.ltc2688": [[120, 1, 1, "", "ltc2688"]], "adi.ltc2688.ltc2688": [[120, 4, 1, "", "channel_names"], [120, 4, 1, "", "vref"]], "adi.ltc2983": [[121, 1, 1, "", "ltc2983"]], "adi.ltc2983.ltc2983": [[121, 4, 1, "", "channel"], [121, 2, 1, "", "convert"]], "adi.max11205": [[122, 1, 1, "", "max11205"]], "adi.max11205.max11205": [[122, 4, 1, "", "channel"], [122, 2, 1, "", "to_volts"]], "adi.max14001": [[123, 1, 1, "", "max14001"]], "adi.max14001.max14001": [[123, 4, 1, "", "channel"], [123, 2, 1, "", "to_volts"]], "adi.max31855": [[124, 1, 1, "", "max31855"]], "adi.max31865": [[125, 1, 1, "", "max31865"]], "adi.max31865.max31865": [[125, 3, 1, "", "fault"], [125, 3, 1, "", "samp_available"]], "adi.max9611": [[126, 1, 1, "", "max9611"]], "adi.max9611.max9611": [[126, 4, 1, "", "channel"]], "adi.one_bit_adc_dac": [[127, 1, 1, "", "one_bit_adc_dac"]], "adi.rx_tx": [[1, 5, 1, "", "are_channels_complex"], [1, 1, 1, "", "rx"], [1, 1, 1, "", "rx_core"], [1, 1, 1, "", "rx_def"], [1, 1, 1, "", "rx_tx"], [1, 1, 1, "", "rx_tx_common"], [1, 1, 1, "", "rx_tx_def"], [1, 1, 1, "", "shared_def"], [1, 1, 1, "", "tx"], [1, 1, 1, "", "tx_core"], [1, 1, 1, "", "tx_def"]], "adi.rx_tx.rx_core": [[1, 2, 1, "", "rx"], [1, 3, 1, "", "rx_annotated"], [1, 3, 1, "", "rx_buffer_size"], [1, 3, 1, "", "rx_channel_names"], [1, 2, 1, "", "rx_destroy_buffer"], [1, 3, 1, "", "rx_enabled_channels"], [1, 3, 1, "", "rx_output_type"]], "adi.rx_tx.tx_core": [[1, 2, 1, "", "tx"], [1, 3, 1, "", "tx_channel_names"], [1, 3, 1, "", "tx_cyclic_buffer"], [1, 2, 1, "", "tx_destroy_buffer"], [1, 3, 1, "", "tx_enabled_channels"]], "adi.sync_start": [[131, 1, 1, "", "sync_start"]], "adi.sync_start.sync_start": [[131, 3, 1, "", "rx_sync_start"], [131, 3, 1, "", "rx_sync_start_available"], [131, 3, 1, "", "tx_sync_start"], [131, 3, 1, "", "tx_sync_start_available"]], "adi.tdd": [[128, 1, 1, "", "tdd"]], "adi.tdd.tdd": [[128, 3, 1, "", "burst_count"], [128, 3, 1, "", "counter_int"], [128, 3, 1, "", "dma_gateing_mode"], [128, 3, 1, "", "en"], [128, 3, 1, "", "en_mode"], [128, 3, 1, "", "frame_length_ms"], [128, 3, 1, "", "frame_length_raw"], [128, 3, 1, "", "rx_dma_ms"], [128, 3, 1, "", "rx_dma_raw"], [128, 3, 1, "", "rx_rf_ms"], [128, 3, 1, "", "rx_rf_raw"], [128, 3, 1, "", "rx_vco_ms"], [128, 3, 1, "", "rx_vco_raw"], [128, 3, 1, "", "secondary"], [128, 3, 1, "", "tx_dma_ms"], [128, 3, 1, "", "tx_dma_raw"], [128, 3, 1, "", "tx_rf_ms"], [128, 3, 1, "", "tx_rf_raw"], [128, 3, 1, "", "tx_vco_ms"], [128, 3, 1, "", "tx_vco_raw"]], "adi.tddn": [[129, 1, 1, "", "tddn"]], "adi.tddn.tddn": [[129, 3, 1, "", "burst_count"], [129, 4, 1, "", "channel"], [129, 3, 1, "", "enable"], [129, 3, 1, "", "frame_length_ms"], [129, 3, 1, "", "frame_length_raw"], [129, 3, 1, "", "internal_sync_period_ms"], [129, 3, 1, "", "internal_sync_period_raw"], [129, 3, 1, "", "startup_delay_ms"], [129, 3, 1, "", "startup_delay_raw"], [129, 3, 1, "", "state"], [129, 3, 1, "", "sync_external"], [129, 3, 1, "", "sync_internal"], [129, 3, 1, "", "sync_reset"], [129, 3, 1, "", "sync_soft"]], "test": [[3, 0, 0, "-", "attr_tests"], [4, 0, 0, "-", "dma_tests"], [5, 0, 0, "-", "generics"]], "test.attr_tests": [[3, 5, 1, "", "attribute_check_range_readonly_with_depends"], [3, 5, 1, "", "attribute_check_range_singleval_with_depends"], [3, 5, 1, "", "attribute_multiple_values"], [3, 5, 1, "", "attribute_multiple_values_available_readonly"], [3, 5, 1, "", "attribute_multiple_values_device_channel"], [3, 5, 1, "", "attribute_multiple_values_error"], [3, 5, 1, "", "attribute_multiple_values_with_depends"], [3, 5, 1, "", "attribute_readonly_with_depends"], [3, 5, 1, "", "attribute_single_value"], [3, 5, 1, "", "attribute_single_value_boolean"], [3, 5, 1, "", "attribute_single_value_boolean_readonly"], [3, 5, 1, "", "attribute_single_value_channel_readonly"], [3, 5, 1, "", "attribute_single_value_device_name_channel_readonly"], [3, 5, 1, "", "attribute_single_value_pow2"], [3, 5, 1, "", "attribute_single_value_range_channel"], [3, 5, 1, "", "attribute_single_value_readonly"], [3, 5, 1, "", "attribute_single_value_str"], [3, 5, 1, "", "attribute_write_only_str"], [3, 5, 1, "", "attribute_write_only_str_device_channel"], [3, 5, 1, "", "attribute_write_only_str_with_depends"], [3, 5, 1, "", "floor_step_size"]], "test.dma_tests": [[4, 5, 1, "", "cw_loopback"], [4, 5, 1, "", "cyclic_buffer"], [4, 5, 1, "", "cyclic_buffer_exception"], [4, 5, 1, "", "dds_loopback"], [4, 5, 1, "", "dds_two_tone"], [4, 5, 1, "", "dma_dac_zeros"], [4, 5, 1, "", "dma_loopback"], [4, 5, 1, "", "dma_rx"], [4, 5, 1, "", "dma_tx"], [4, 5, 1, "", "gain_check"], [4, 5, 1, "", "hardwaregain"], [4, 5, 1, "", "harmonic_vals"], [4, 5, 1, "", "nco_loopback"], [4, 5, 1, "", "stress_context_creation"], [4, 5, 1, "", "stress_rx_buffer_creation"], [4, 5, 1, "", "stress_rx_buffer_length"], [4, 5, 1, "", "stress_tx_buffer_creation"], [4, 5, 1, "", "t_sfdr"], [4, 5, 1, "", "verify_overflow"], [4, 5, 1, "", "verify_underflow"]], "test.generics": [[5, 5, 1, "", "iio_attribute_single_value"], [5, 5, 1, "", "iio_buffer_check"]]}, "objtypes": {"0": "py:module", "1": "py:class", "2": "py:method", "3": "py:property", "4": "py:attribute", "5": "py:function"}, "objnames": {"0": ["py", "module", "Python module"], "1": ["py", "class", "Python class"], "2": ["py", "method", "Python method"], "3": ["py", "property", "Python property"], "4": ["py", "attribute", "Python attribute"], "5": ["py", "function", "Python function"]}, "titleterms": {"attribut": [0, 3], "buffer": 1, "cyclic": 1, "mode": 1, "annot": 1, "unit": 1, "member": 1, "exampl": [1, 133, 136], "develop": 2, "invok": 2, "precommit": 2, "test": [2, 3, 4, 5], "configur": 2, "new": 2, "hardwar": [2, 135], "requir": [2, 135], "function": 2, "fixtur": 2, "set": 2, "up": 2, "isol": 2, "environ": [2, 134], "instal": [2, 134], "pyenv": 2, "creat": 2, "pipenv": 2, "emul": 2, "dma": [4, 131], "gener": 5, "quadmxfe_multi": 6, "ad2s1210": 7, "ad3552r": 8, "ad4020": 9, "ad405x": 10, "ad4110": 11, "ad4130": 12, "ad4170": 13, "ad4630": 14, "ad469x": 15, "ad4858": 16, "ad5592r": 17, "ad5627": 18, "ad5686": 19, "ad5754r": 20, "ad579x": 21, "adi": [22, 23, 45, 46, 47, 49, 50, 53, 54, 55, 57, 58, 62, 65, 78, 90, 95, 101, 107, 108, 110, 117, 122, 123, 124, 125, 126], "ad5940": 22, "modul": [22, 23, 45, 46, 47, 49, 50, 53, 54, 55, 57, 58, 62, 65, 78, 90, 95, 101, 107, 108, 110, 117, 122, 123, 124, 125, 126], "ad6676": 23, "ad7124": 24, "ad7134": 25, "ad717x": 26, "ad719x": 27, "ad7291": 28, "ad738x": 29, "ad7606": 30, "ad7689": 31, "ad7746": 32, "ad7768": 33, "ad777x": 34, "ad7799": 35, "ad9081": [36, 39], "ad9081_mc": 37, "ad9083": 38, "ad9084_mc": 40, "ad9094": 41, "ad9136": 42, "ad9144": 43, "ad9152": 44, "ad9162": 45, "ad9166": 46, "ad9172": 47, "ad9213": 48, "ad9250": 49, "ad9265": 50, "ad936x": 51, "ad937x": 52, "ad9434": 53, "ad9467": 54, "ad9625": 55, "ad9680": 56, "ad9739a": 57, "ada4961": 58, "adaq8092": 59, "adar1000": 60, "adf4159": 61, "adf4355": 62, "adf4371": 63, "adf5610": 64, "adg2128": 65, "adis16375": 66, "adis16460": 67, "adis16475": 68, "adis16480": 69, "adis16485": 70, "adis16488": 71, "adis16490": 72, "adis16495": 73, "adis16497": 74, "adis16507": 75, "adis16545": 76, "adis16547": 77, "adl5240": 78, "adl5960": 79, "admv8818": 80, "adpd1080": 81, "adpd188": 82, "adpd410x": 83, "adrf5720": 84, "adrv9002": 85, "adrv9009": 86, "adrv9009_zu11eg": 87, "adrv9009_zu11eg_fmcomms8": 88, "adrv9009_zu11eg_multi": 89, "adt7420": 90, "adxl313": 91, "adxl345": 92, "adxl355": 93, "adxrs290": 94, "cn0511": 95, "cn0532": 96, "cn0540": 97, "cn0554": 98, "cn0556": 99, "cn0565": 100, "cn0566": 101, "paramet": [101, 114], "note": 101, "cn0575": 102, "cn0579": 103, "daq2": 104, "daq3": 105, "fmc_vna": 106, "fmcadc3": 107, "fmcjesdadc1": 108, "fmclidar1": 109, "fmcomms11": 110, "fmcomms5": 111, "gen_mux": 112, "jesd": 113, "lm75": 114, "ltc2314_14": 115, "ltc2387": 116, "ltc2499": 117, "ltc2664": 118, "ltc2672": 119, "ltc2688": 120, "ltc2983": 121, "max11205": 122, "max14001": 123, "max31855": 124, "max31865": 125, "max9611": 126, "one_bit_adc_dac": 127, "tdd": 128, "tddn": 129, "support": [130, 136, 137], "devic": [130, 135], "fpga": 131, "featur": 131, "direct": [131, 136], "digit": 131, "synthes": 131, "dd": 131, "method": 131, "synchron": 131, "sync_start": 131, "connect": 132, "quick": 134, "start": 134, "us": 134, "virtual": 134, "conda": 134, "check": 134, "analog": 135, "python": 135, "interfac": 135, "section": 135, "indic": 135, "tabl": 135, "libiio": 136, "access": 136, "entri": 136, "point": 136, "v1": 136, "x": 136}, "envversion": {"sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.intersphinx": 1, "sphinx": 58}, "alltitles": {"Attributes": [[0, "attributes"]], "Buffers": [[1, "buffers"]], "Cyclic Mode": [[1, "cyclic-mode"]], "Annotated Buffers": [[1, "annotated-buffers"]], "Buffer Units": [[1, "buffer-units"]], "Members": [[1, "module-adi.rx_tx"]], "Buffer Examples": [[1, "buffer-examples"]], "Developers": [[2, "developers"]], "Invoke": [[2, "invoke"]], "Precommit": [[2, "precommit"]], "Testing": [[2, "testing"]], "Test Configuration": [[2, "test-configuration"]], "New Hardware Requirements": [[2, "new-hardware-requirements"]], "Test Functions and Fixtures": [[2, "test-functions-and-fixtures"]], "Set Up Isolated Environment": [[2, "set-up-isolated-environment"]], "Install pyenv": [[2, "install-pyenv"]], "Create isolated install with pipenv": [[2, "create-isolated-install-with-pipenv"]], "Emulation": [[2, "emulation"]], "Attribute Tests": [[3, "attribute-tests"]], "DMA Tests": [[4, "dma-tests"]], "Generic Tests": [[5, "generic-tests"]], "QuadMxFE_multi": [[6, "module-adi.QuadMxFE_multi"]], "ad2s1210": [[7, "module-adi.ad2s1210"]], "ad3552r": [[8, "ad3552r"]], "ad4020": [[9, "ad4020"]], "ad405x": [[10, "module-adi.ad405x"]], "ad4110": [[11, "module-adi.ad4110"]], "ad4130": [[12, "module-adi.ad4130"]], "ad4170": [[13, "module-adi.ad4170"]], "ad4630": [[14, "module-adi.ad4630"]], "ad469x": [[15, "module-adi.ad469x"]], "ad4858": [[16, "module-adi.ad4858"]], "ad5592r": [[17, "module-adi.ad5592r"]], "ad5627": [[18, "module-adi.ad5627"]], "ad5686": [[19, "module-adi.ad5686"]], "ad5754r": [[20, "module-adi.ad5754r"]], "ad579x": [[21, "module-adi.ad579x"]], "adi.ad5940 module": [[22, "module-adi.ad5940"]], "adi.ad6676 module": [[23, "module-adi.ad6676"]], "ad7124": [[24, "module-adi.ad7124"]], "ad7134": [[25, "module-adi.ad7134"]], "ad717x": [[26, "module-adi.ad717x"]], "ad719x": [[27, "module-adi.ad719x"]], "ad7291": [[28, "module-adi.ad7291"]], "ad738x": [[29, "module-adi.ad738x"]], "ad7606": [[30, "module-adi.ad7606"]], "ad7689": [[31, "module-adi.ad7689"]], "ad7746": [[32, "module-adi.ad7746"]], "ad7768": [[33, "module-adi.ad7768"]], "ad777x": [[34, "module-adi.ad777x"]], "ad7799": [[35, "module-adi.ad7799"]], "ad9081": [[36, "module-adi.ad9081"], [39, "module-adi.ad9084"]], "ad9081_mc": [[37, "ad9081-mc"]], "ad9083": [[38, "module-adi.ad9083"]], "ad9084_mc": [[40, "ad9084-mc"]], "ad9094": [[41, "module-adi.ad9094"]], "ad9136": [[42, "module-adi.ad9136"]], "ad9144": [[43, "module-adi.ad9144"]], "ad9152": [[44, "module-adi.ad9152"]], "adi.ad9162 module": [[45, "module-adi.ad9162"]], "adi.ad9166 module": [[46, "module-adi.ad9166"]], "adi.ad9172 module": [[47, "module-adi.ad9172"]], "ad9213": [[48, "module-adi.ad9213"]], "adi.ad9250 module": [[49, "module-adi.ad9250"]], "adi.ad9265 module": [[50, "module-adi.ad9265"]], "ad936x": [[51, "module-adi.ad936x"]], "ad937x": [[52, "module-adi.ad937x"]], "adi.ad9434 module": [[53, "module-adi.ad9434"]], "adi.ad9467 module": [[54, "module-adi.ad9467"]], "adi.ad9625 module": [[55, "module-adi.ad9625"]], "ad9680": [[56, "module-adi.ad9680"]], "adi.ad9739a module": [[57, "module-adi.ad9739a"]], "adi.ada4961 module": [[58, "module-adi.ada4961"]], "adaq8092": [[59, "module-adi.adaq8092"]], "adar1000": [[60, "module-adi.adar1000"]], "adf4159": [[61, "module-adi.adf4159"]], "adi.adf4355 module": [[62, "module-adi.adf4355"]], "adf4371": [[63, "module-adi.adf4371"]], "adf5610": [[64, "module-adi.adf5610"]], "adi.adg2128 module": [[65, "module-adi.adg2128"]], "adis16375": [[66, "adis16375"]], "adis16460": [[67, "adis16460"]], "adis16475": [[68, "adis16475"]], "adis16480": [[69, "adis16480"]], "adis16485": [[70, "adis16485"]], "adis16488": [[71, "adis16488"]], "adis16490": [[72, "adis16490"]], "adis16495": [[73, "adis16495"]], "adis16497": [[74, "adis16497"]], "adis16507": [[75, "module-adi.adis16507"]], "adis16545": [[76, "adis16545"]], "adis16547": [[77, "adis16547"]], "adi.adl5240 module": [[78, "module-adi.adl5240"]], "adl5960": [[79, "module-adi.adl5960"]], "admv8818": [[80, "module-adi.admv8818"]], "adpd1080": [[81, "module-adi.adpd1080"]], "adpd188": [[82, "module-adi.adpd188"]], "adpd410x": [[83, "module-adi.adpd410x"]], "adrf5720": [[84, "module-adi.adrf5720"]], "adrv9002": [[85, "module-adi.adrv9002"]], "adrv9009": [[86, "module-adi.adrv9009"]], "adrv9009_zu11eg": [[87, "module-adi.adrv9009_zu11eg"]], "adrv9009_zu11eg_fmcomms8": [[88, "module-adi.adrv9009_zu11eg_fmcomms8"]], "adrv9009_zu11eg_multi": [[89, "module-adi.adrv9009_zu11eg_multi"]], "adi.adt7420 module": [[90, "module-adi.adt7420"]], "adxl313": [[91, "module-adi.adxl313"]], "adxl345": [[92, "module-adi.adxl345"]], "adxl355": [[93, "module-adi.adxl355"]], "adxrs290": [[94, "module-adi.adxrs290"]], "adi.cn0511 module": [[95, "module-adi.cn0511"]], "cn0532": [[96, "module-adi.cn0532"]], "cn0540": [[97, "module-adi.cn0540"]], "cn0554": [[98, "module-adi.cn0554"]], "cn0556": [[99, "module-adi.cn0556"]], "cn0565": [[100, "module-adi.cn0565"]], "adi.cn0566 module": [[101, "module-adi.cn0566"]], "parameters": [[101, "parameters"]], "Parameters": [[101, "id1"], [101, "id2"], [101, "id3"], [101, "id4"], [101, "id5"], [101, "id6"], [101, "id7"], [101, "id8"], [101, "id9"], [101, "id11"], [114, "parameters"]], "Notes": [[101, "notes"], [101, "id10"]], "cn0575": [[102, "module-adi.cn0575"]], "cn0579": [[103, "module-adi.cn0579"]], "daq2": [[104, "module-adi.daq2"]], "daq3": [[105, "module-adi.daq3"]], "fmc_vna": [[106, "module-adi.fmc_vna"]], "adi.fmcadc3 module": [[107, "module-adi.fmcadc3"]], "adi.fmcjesdadc1 module": [[108, "module-adi.fmcjesdadc1"]], "fmclidar1": [[109, "module-adi.fmclidar1"]], "adi.fmcomms11 module": [[110, "module-adi.fmcomms11"]], "fmcomms5": [[111, "module-adi.fmcomms5"]], "gen_mux": [[112, "module-adi.gen_mux"]], "jesd": [[113, "module-adi.jesd"]], "lm75": [[114, "module-adi.lm75"]], "ltc2314_14": [[115, "module-adi.ltc2314_14"]], "ltc2387": [[116, "module-adi.ltc2387"]], "adi.ltc2499 module": [[117, "module-adi.ltc2499"]], "ltc2664": [[118, "module-adi.ltc2664"]], "ltc2672": [[119, "module-adi.ltc2672"]], "ltc2688": [[120, "module-adi.ltc2688"]], "ltc2983": [[121, "module-adi.ltc2983"]], "adi.max11205 module": [[122, "module-adi.max11205"]], "adi.max14001 module": [[123, "module-adi.max14001"]], "adi.max31855 module": [[124, "module-adi.max31855"]], "adi.max31865 module": [[125, "module-adi.max31865"]], "adi.max9611 module": [[126, "module-adi.max9611"]], "one_bit_adc_dac": [[127, "module-adi.one_bit_adc_dac"]], "tdd": [[128, "module-adi.tdd"]], "tddn": [[129, "module-adi.tddn"]], "Supported Devices": [[130, "supported-devices"]], "FPGA Features": [[131, "fpga-features"]], "Direct Digital Synthesizers": [[131, "direct-digital-synthesizers"]], "DDS Methods": [[131, "module-adi.dds"]], "DMA Synchronization": [[131, "dma-synchronization"]], "Sync_Start Methods": [[131, "module-adi.sync_start"]], "Connectivity": [[132, "connectivity"]], "Examples": [[133, "examples"], [136, "examples"]], "Quick Start": [[134, "quick-start"]], "Using Virtual Environments": [[134, "using-virtual-environments"]], "Conda Install": [[134, "conda-install"]], "Install Checks": [[134, "install-checks"]], "Analog Devices Hardware Python Interfaces": [[135, "analog-devices-hardware-python-interfaces"]], "Requirements": [[135, "requirements"]], "Sections": [[135, "sections"]], "Indices and tables": [[135, "indices-and-tables"]], "libiio Direct Access": [[136, "libiio-direct-access"]], "libiio Entry Points": [[136, "libiio-entry-points"]], "libiio v1.X support": [[136, "libiio-v1-x-support"]], "Support": [[137, "support"]]}, "indexentries": {"adi.rx_tx": [[1, "module-adi.rx_tx"]], "are_channels_complex() (in module adi.rx_tx)": [[1, "adi.rx_tx.are_channels_complex"]], "module": [[1, "module-adi.rx_tx"], [3, "module-test.attr_tests"], [4, "module-test.dma_tests"], [5, "module-test.generics"], [6, "module-adi.QuadMxFE_multi"], [7, "module-adi.ad2s1210"], [8, "module-adi.ad3552r"], [9, "module-adi.ad4020"], [10, "module-adi.ad405x"], [11, "module-adi.ad4110"], [12, "module-adi.ad4130"], [13, "module-adi.ad4170"], [14, "module-adi.ad4630"], [15, "module-adi.ad469x"], [16, "module-adi.ad4858"], [17, "module-adi.ad5592r"], [18, "module-adi.ad5627"], [19, "module-adi.ad5686"], [20, "module-adi.ad5754r"], [21, "module-adi.ad579x"], [22, "module-adi.ad5940"], [23, "module-adi.ad6676"], [24, "module-adi.ad7124"], [25, "module-adi.ad7134"], [26, "module-adi.ad717x"], [27, "module-adi.ad719x"], [28, "module-adi.ad7291"], [29, "module-adi.ad738x"], [30, "module-adi.ad7606"], [31, "module-adi.ad7689"], [32, "module-adi.ad7746"], [33, "module-adi.ad7768"], [34, "module-adi.ad777x"], [35, "module-adi.ad7799"], [36, "module-adi.ad9081"], [37, "module-adi.ad9081_mc"], [38, "module-adi.ad9083"], [39, "module-adi.ad9084"], [40, "module-adi.ad9084_mc"], [41, "module-adi.ad9094"], [42, "module-adi.ad9136"], [43, "module-adi.ad9144"], [44, "module-adi.ad9152"], [45, "module-adi.ad9162"], [46, "module-adi.ad9166"], [47, "module-adi.ad9172"], [48, "module-adi.ad9213"], [49, "module-adi.ad9250"], [50, "module-adi.ad9265"], [51, "module-adi.ad936x"], [52, "module-adi.ad937x"], [53, "module-adi.ad9434"], [54, "module-adi.ad9467"], [55, "module-adi.ad9625"], [56, "module-adi.ad9680"], [57, "module-adi.ad9739a"], [58, "module-adi.ada4961"], [59, "module-adi.adaq8092"], [60, "module-adi.adar1000"], [61, "module-adi.adf4159"], [62, "module-adi.adf4355"], [63, "module-adi.adf4371"], [64, "module-adi.adf5610"], [65, "module-adi.adg2128"], [75, "module-adi.adis16507"], [78, "module-adi.adl5240"], [79, "module-adi.adl5960"], [80, "module-adi.admv8818"], [81, "module-adi.adpd1080"], [82, "module-adi.adpd188"], [83, "module-adi.adpd410x"], [84, "module-adi.adrf5720"], [85, "module-adi.adrv9002"], [86, "module-adi.adrv9009"], [87, "module-adi.adrv9009_zu11eg"], [88, "module-adi.adrv9009_zu11eg_fmcomms8"], [89, "module-adi.adrv9009_zu11eg_multi"], [90, "module-adi.adt7420"], [91, "module-adi.adxl313"], [92, "module-adi.adxl345"], [93, "module-adi.adxl355"], [94, "module-adi.adxrs290"], [95, "module-adi.cn0511"], [96, "module-adi.cn0532"], [97, "module-adi.cn0540"], [98, "module-adi.cn0554"], [99, "module-adi.cn0556"], [100, "module-adi.cn0565"], [101, "module-adi.cn0566"], [102, "module-adi.cn0575"], [103, "module-adi.cn0579"], [104, "module-adi.daq2"], [105, "module-adi.daq3"], [106, "module-adi.fmc_vna"], [107, "module-adi.fmcadc3"], [108, "module-adi.fmcjesdadc1"], [109, "module-adi.fmclidar1"], [110, "module-adi.fmcomms11"], [111, "module-adi.fmcomms5"], [112, "module-adi.gen_mux"], [113, "module-adi.jesd"], [114, "module-adi.lm75"], [115, "module-adi.ltc2314_14"], [116, "module-adi.ltc2387"], [117, "module-adi.ltc2499"], [118, "module-adi.ltc2664"], [119, "module-adi.ltc2672"], [120, "module-adi.ltc2688"], [121, "module-adi.ltc2983"], [122, "module-adi.max11205"], [123, "module-adi.max14001"], [124, "module-adi.max31855"], [125, "module-adi.max31865"], [126, "module-adi.max9611"], [127, "module-adi.one_bit_adc_dac"], [128, "module-adi.tdd"], [129, "module-adi.tddn"], [130, "module-adi"], [131, "module-adi.dds"], [131, "module-adi.sync_start"]], "rx (class in adi.rx_tx)": [[1, "adi.rx_tx.rx"]], "rx() (adi.rx_tx.rx_core method)": [[1, "adi.rx_tx.rx_core.rx"]], "rx_annotated (adi.rx_tx.rx_core property)": [[1, "adi.rx_tx.rx_core.rx_annotated"]], "rx_buffer_size (adi.rx_tx.rx_core property)": [[1, "adi.rx_tx.rx_core.rx_buffer_size"]], "rx_channel_names (adi.rx_tx.rx_core property)": [[1, "adi.rx_tx.rx_core.rx_channel_names"]], "rx_core (class in adi.rx_tx)": [[1, "adi.rx_tx.rx_core"]], "rx_def (class in adi.rx_tx)": [[1, "adi.rx_tx.rx_def"]], "rx_destroy_buffer() (adi.rx_tx.rx_core method)": [[1, "adi.rx_tx.rx_core.rx_destroy_buffer"]], "rx_enabled_channels (adi.rx_tx.rx_core property)": [[1, "adi.rx_tx.rx_core.rx_enabled_channels"]], "rx_output_type (adi.rx_tx.rx_core property)": [[1, "adi.rx_tx.rx_core.rx_output_type"]], "rx_tx (class in adi.rx_tx)": [[1, "adi.rx_tx.rx_tx"]], "rx_tx_common (class in adi.rx_tx)": [[1, "adi.rx_tx.rx_tx_common"]], "rx_tx_def (class in adi.rx_tx)": [[1, "adi.rx_tx.rx_tx_def"]], "shared_def (class in adi.rx_tx)": [[1, "adi.rx_tx.shared_def"]], "tx (class in adi.rx_tx)": [[1, "adi.rx_tx.tx"]], "tx() (adi.rx_tx.tx_core method)": [[1, "adi.rx_tx.tx_core.tx"]], "tx_channel_names (adi.rx_tx.tx_core property)": [[1, "adi.rx_tx.tx_core.tx_channel_names"]], "tx_core (class in adi.rx_tx)": [[1, "adi.rx_tx.tx_core"]], "tx_cyclic_buffer (adi.rx_tx.tx_core property)": [[1, "adi.rx_tx.tx_core.tx_cyclic_buffer"]], "tx_def (class in adi.rx_tx)": [[1, "adi.rx_tx.tx_def"]], "tx_destroy_buffer() (adi.rx_tx.tx_core method)": [[1, "adi.rx_tx.tx_core.tx_destroy_buffer"]], "tx_enabled_channels (adi.rx_tx.tx_core property)": [[1, "adi.rx_tx.tx_core.tx_enabled_channels"]], "attribute_check_range_readonly_with_depends() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_check_range_readonly_with_depends"]], "attribute_check_range_singleval_with_depends() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_check_range_singleval_with_depends"]], "attribute_multiple_values() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_multiple_values"]], "attribute_multiple_values_available_readonly() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_multiple_values_available_readonly"]], "attribute_multiple_values_device_channel() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_multiple_values_device_channel"]], "attribute_multiple_values_error() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_multiple_values_error"]], "attribute_multiple_values_with_depends() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_multiple_values_with_depends"]], "attribute_readonly_with_depends() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_readonly_with_depends"]], "attribute_single_value() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value"]], "attribute_single_value_boolean() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_boolean"]], "attribute_single_value_boolean_readonly() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_boolean_readonly"]], "attribute_single_value_channel_readonly() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_channel_readonly"]], "attribute_single_value_device_name_channel_readonly() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_device_name_channel_readonly"]], "attribute_single_value_pow2() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_pow2"]], "attribute_single_value_range_channel() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_range_channel"]], "attribute_single_value_readonly() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_readonly"]], "attribute_single_value_str() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_single_value_str"]], "attribute_write_only_str() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_write_only_str"]], "attribute_write_only_str_device_channel() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_write_only_str_device_channel"]], "attribute_write_only_str_with_depends() (in module test.attr_tests)": [[3, "test.attr_tests.attribute_write_only_str_with_depends"]], "floor_step_size() (in module test.attr_tests)": [[3, "test.attr_tests.floor_step_size"]], "test.attr_tests": [[3, "module-test.attr_tests"]], "cw_loopback() (in module test.dma_tests)": [[4, "test.dma_tests.cw_loopback"]], "cyclic_buffer() (in module test.dma_tests)": [[4, "test.dma_tests.cyclic_buffer"]], "cyclic_buffer_exception() (in module test.dma_tests)": [[4, "test.dma_tests.cyclic_buffer_exception"]], "dds_loopback() (in module test.dma_tests)": [[4, "test.dma_tests.dds_loopback"]], "dds_two_tone() (in module test.dma_tests)": [[4, "test.dma_tests.dds_two_tone"]], "dma_dac_zeros() (in module test.dma_tests)": [[4, "test.dma_tests.dma_dac_zeros"]], "dma_loopback() (in module test.dma_tests)": [[4, "test.dma_tests.dma_loopback"]], "dma_rx() (in module test.dma_tests)": [[4, "test.dma_tests.dma_rx"]], "dma_tx() (in module test.dma_tests)": [[4, "test.dma_tests.dma_tx"]], "gain_check() (in module test.dma_tests)": [[4, "test.dma_tests.gain_check"]], "hardwaregain() (in module test.dma_tests)": [[4, "test.dma_tests.hardwaregain"]], "harmonic_vals() (in module test.dma_tests)": [[4, "test.dma_tests.harmonic_vals"]], "nco_loopback() (in module test.dma_tests)": [[4, "test.dma_tests.nco_loopback"]], "stress_context_creation() (in module test.dma_tests)": [[4, "test.dma_tests.stress_context_creation"]], "stress_rx_buffer_creation() (in module test.dma_tests)": [[4, "test.dma_tests.stress_rx_buffer_creation"]], "stress_rx_buffer_length() (in module test.dma_tests)": [[4, "test.dma_tests.stress_rx_buffer_length"]], "stress_tx_buffer_creation() (in module test.dma_tests)": [[4, "test.dma_tests.stress_tx_buffer_creation"]], "t_sfdr() (in module test.dma_tests)": [[4, "test.dma_tests.t_sfdr"]], "test.dma_tests": [[4, "module-test.dma_tests"]], "verify_overflow() (in module test.dma_tests)": [[4, "test.dma_tests.verify_overflow"]], "verify_underflow() (in module test.dma_tests)": [[4, "test.dma_tests.verify_underflow"]], "iio_attribute_single_value() (in module test.generics)": [[5, "test.generics.iio_attribute_single_value"]], "iio_buffer_check() (in module test.generics)": [[5, "test.generics.iio_buffer_check"]], "test.generics": [[5, "module-test.generics"]], "quadmxfe_multi (class in adi.quadmxfe_multi)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi"]], "adi.quadmxfe_multi": [[6, "module-adi.QuadMxFE_multi"]], "hmc7044_cap_sel() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.hmc7044_cap_sel"]], "hmc7044_car_output_delay() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.hmc7044_car_output_delay"]], "hmc7044_ext_output_delay() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.hmc7044_ext_output_delay"]], "hmc7044_set_cap_sel() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.hmc7044_set_cap_sel"]], "reinitialize() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.reinitialize"]], "rx() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.rx"]], "rx_buffer_size (adi.quadmxfe_multi.quadmxfe_multi property)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.rx_buffer_size"]], "secondaries (adi.quadmxfe_multi.quadmxfe_multi attribute)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.secondaries"]], "sysref_request() (adi.quadmxfe_multi.quadmxfe_multi method)": [[6, "adi.QuadMxFE_multi.QuadMxFE_multi.sysref_request"]], "ad2s1210 (class in adi.ad2s1210)": [[7, "adi.ad2s1210.ad2s1210"]], "adi.ad2s1210": [[7, "module-adi.ad2s1210"]], "excitation_frequency (adi.ad2s1210.ad2s1210 property)": [[7, "adi.ad2s1210.ad2s1210.excitation_frequency"]], "hysteresis_enable (adi.ad2s1210.ad2s1210 property)": [[7, "adi.ad2s1210.ad2s1210.hysteresis_enable"]], "ad3552r (class in adi.ad3552r)": [[8, "adi.ad3552r.ad3552r"]], "adi.ad3552r": [[8, "module-adi.ad3552r"]], "ad4000 (class in adi.ad4020)": [[9, "adi.ad4020.ad4000"]], "ad4001 (class in adi.ad4020)": [[9, "adi.ad4020.ad4001"]], "ad4002 (class in adi.ad4020)": [[9, "adi.ad4020.ad4002"]], "ad4003 (class in adi.ad4020)": [[9, "adi.ad4020.ad4003"]], "ad4020 (class in adi.ad4020)": [[9, "adi.ad4020.ad4020"]], "adi.ad4020": [[9, "module-adi.ad4020"]], "sampling_frequency (adi.ad4020.ad4020 property)": [[9, "adi.ad4020.ad4020.sampling_frequency"]], "ad405x (class in adi.ad405x)": [[10, "adi.ad405x.ad405x"]], "adi.ad405x": [[10, "module-adi.ad405x"]], "avg_filter_length (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.avg_filter_length"]], "avg_filter_length_avail (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.avg_filter_length_avail"]], "burst_sample_rate (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.burst_sample_rate"]], "channels (adi.ad405x.ad405x attribute)": [[10, "adi.ad405x.ad405x.channels"]], "operating_mode (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.operating_mode"]], "operating_mode_avail (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.operating_mode_avail"]], "sampling_frequency (adi.ad405x.ad405x property)": [[10, "adi.ad405x.ad405x.sampling_frequency"]], "to_volts() (adi.ad405x.ad405x method)": [[10, "adi.ad405x.ad405x.to_volts"]], "ad4110 (class in adi.ad4110)": [[11, "adi.ad4110.ad4110"]], "adi.ad4110": [[11, "module-adi.ad4110"]], "channel (adi.ad4110.ad4110 attribute)": [[11, "adi.ad4110.ad4110.channel"]], "to_volts() (adi.ad4110.ad4110 method)": [[11, "adi.ad4110.ad4110.to_volts"]], "ad4130 (class in adi.ad4130)": [[12, "adi.ad4130.ad4130"]], "adi.ad4130": [[12, "module-adi.ad4130"]], "channel (adi.ad4130.ad4130 attribute)": [[12, "adi.ad4130.ad4130.channel"]], "to_volts() (adi.ad4130.ad4130 method)": [[12, "adi.ad4130.ad4130.to_volts"]], "ad4170 (class in adi.ad4170)": [[13, "adi.ad4170.ad4170"]], "adi.ad4170": [[13, "module-adi.ad4170"]], "channels (adi.ad4170.ad4170 attribute)": [[13, "adi.ad4170.ad4170.channels"]], "to_volts() (adi.ad4170.ad4170 method)": [[13, "adi.ad4170.ad4170.to_volts"]], "ad4630 (class in adi.ad4630)": [[14, "adi.ad4630.ad4630"]], "adaq42xx (class in adi.ad4630)": [[14, "adi.ad4630.adaq42xx"]], "adi.ad4630": [[14, "module-adi.ad4630"]], "output_data_mode() (adi.ad4630.ad4630 method)": [[14, "adi.ad4630.ad4630.output_data_mode"]], "rx() (adi.ad4630.ad4630 method)": [[14, "adi.ad4630.ad4630.rx"]], "sample_averaging (adi.ad4630.ad4630 property)": [[14, "adi.ad4630.ad4630.sample_averaging"]], "sample_averaging_avail (adi.ad4630.ad4630 property)": [[14, "adi.ad4630.ad4630.sample_averaging_avail"]], "sample_rate (adi.ad4630.ad4630 property)": [[14, "adi.ad4630.ad4630.sample_rate"]], "ad469x (class in adi.ad469x)": [[15, "adi.ad469x.ad469x"]], "adi.ad469x": [[15, "module-adi.ad469x"]], "channel (adi.ad469x.ad469x attribute)": [[15, "adi.ad469x.ad469x.channel"]], "to_volts() (adi.ad469x.ad469x method)": [[15, "adi.ad469x.ad469x.to_volts"]], "ad4858 (class in adi.ad4858)": [[16, "adi.ad4858.ad4858"]], "adi.ad4858": [[16, "module-adi.ad4858"]], "channel (adi.ad4858.ad4858 attribute)": [[16, "adi.ad4858.ad4858.channel"]], "oversampling_ratio (adi.ad4858.ad4858 property)": [[16, "adi.ad4858.ad4858.oversampling_ratio"]], "oversampling_ratio_avail (adi.ad4858.ad4858 property)": [[16, "adi.ad4858.ad4858.oversampling_ratio_avail"]], "packet_format (adi.ad4858.ad4858 property)": [[16, "adi.ad4858.ad4858.packet_format"]], "packet_format_avail (adi.ad4858.ad4858 property)": [[16, "adi.ad4858.ad4858.packet_format_avail"]], "sampling_frequency (adi.ad4858.ad4858 property)": [[16, "adi.ad4858.ad4858.sampling_frequency"]], "to_volts() (adi.ad4858.ad4858 method)": [[16, "adi.ad4858.ad4858.to_volts"]], "ad5592r (class in adi.ad5592r)": [[17, "adi.ad5592r.ad5592r"]], "ad5592r.channel_adc (class in adi.ad5592r)": [[17, "adi.ad5592r.ad5592r.channel_adc"]], "ad5592r.channel_dac (class in adi.ad5592r)": [[17, "adi.ad5592r.ad5592r.channel_dac"]], "ad5592r.channel_temp (class in adi.ad5592r)": [[17, "adi.ad5592r.ad5592r.channel_temp"]], "adi.ad5592r": [[17, "module-adi.ad5592r"]], "offset (adi.ad5592r.ad5592r.channel_temp property)": [[17, "adi.ad5592r.ad5592r.channel_temp.offset"]], "raw (adi.ad5592r.ad5592r.channel_adc property)": [[17, "adi.ad5592r.ad5592r.channel_adc.raw"]], "raw (adi.ad5592r.ad5592r.channel_dac property)": [[17, "adi.ad5592r.ad5592r.channel_dac.raw"]], "raw (adi.ad5592r.ad5592r.channel_temp property)": [[17, "adi.ad5592r.ad5592r.channel_temp.raw"]], "scale (adi.ad5592r.ad5592r.channel_adc property)": [[17, "adi.ad5592r.ad5592r.channel_adc.scale"]], "scale (adi.ad5592r.ad5592r.channel_temp property)": [[17, "adi.ad5592r.ad5592r.channel_temp.scale"]], "scale_available (adi.ad5592r.ad5592r.channel_adc property)": [[17, "adi.ad5592r.ad5592r.channel_adc.scale_available"]], "ad5627 (class in adi.ad5627)": [[18, "adi.ad5627.ad5627"]], "adi.ad5627": [[18, "module-adi.ad5627"]], "apdbias (adi.ad5627.ad5627 property)": [[18, "adi.ad5627.ad5627.apdbias"]], "tiltvoltage (adi.ad5627.ad5627 property)": [[18, "adi.ad5627.ad5627.tiltvoltage"]], "ad5686 (class in adi.ad5686)": [[19, "adi.ad5686.ad5686"]], "adi.ad5686": [[19, "module-adi.ad5686"]], "channel (adi.ad5686.ad5686 attribute)": [[19, "adi.ad5686.ad5686.channel"]], "ad5754r (class in adi.ad5754r)": [[20, "adi.ad5754r.ad5754r"]], "adi.ad5754r": [[20, "module-adi.ad5754r"]], "all_chns_clear (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.all_chns_clear"]], "all_chns_clear_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.all_chns_clear_available"]], "channel (adi.ad5754r.ad5754r attribute)": [[20, "adi.ad5754r.ad5754r.channel"]], "clamp_enable (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.clamp_enable"]], "clamp_enable_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.clamp_enable_available"]], "clear_setting (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.clear_setting"]], "clear_setting_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.clear_setting_available"]], "hw_ldac_trigger (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.hw_ldac_trigger"]], "hw_ldac_trigger_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.hw_ldac_trigger_available"]], "int_ref_powerup (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.int_ref_powerup"]], "int_ref_powerup_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.int_ref_powerup_available"]], "oc_tsd (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.oc_tsd"]], "oc_tsd_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.oc_tsd_available"]], "sampling_frequency (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.sampling_frequency"]], "sdo_disable (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.sdo_disable"]], "sdo_disable_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.sdo_disable_available"]], "sw_ldac_trigger (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.sw_ldac_trigger"]], "sw_ldac_trigger_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.sw_ldac_trigger_available"]], "tsd_enable (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.tsd_enable"]], "tsd_enable_available (adi.ad5754r.ad5754r property)": [[20, "adi.ad5754r.ad5754r.tsd_enable_available"]], "ad579x (class in adi.ad579x)": [[21, "adi.ad579x.ad579x"]], "adi.ad579x": [[21, "module-adi.ad579x"]], "channel (adi.ad579x.ad579x attribute)": [[21, "adi.ad579x.ad579x.channel"]], "powerdown_mode (adi.ad579x.ad579x property)": [[21, "adi.ad579x.ad579x.powerdown_mode"]], "powerdown_mode_available (adi.ad579x.ad579x property)": [[21, "adi.ad579x.ad579x.powerdown_mode_available"]], "sampling_frequency (adi.ad579x.ad579x property)": [[21, "adi.ad579x.ad579x.sampling_frequency"]], "ad5940 (class in adi.ad5940)": [[22, "adi.ad5940.ad5940"]], "adi.ad5940": [[22, "module-adi.ad5940"]], "channel (adi.ad5940.ad5940 attribute)": [[22, "adi.ad5940.ad5940.channel"]], "excitation_amplitude (adi.ad5940.ad5940 property)": [[22, "adi.ad5940.ad5940.excitation_amplitude"]], "excitation_frequency (adi.ad5940.ad5940 property)": [[22, "adi.ad5940.ad5940.excitation_frequency"]], "gpio1_toggle (adi.ad5940.ad5940 property)": [[22, "adi.ad5940.ad5940.gpio1_toggle"]], "impedance_mode (adi.ad5940.ad5940 property)": [[22, "adi.ad5940.ad5940.impedance_mode"]], "magnitude_mode (adi.ad5940.ad5940 property)": [[22, "adi.ad5940.ad5940.magnitude_mode"]], "ad6676 (class in adi.ad6676)": [[23, "adi.ad6676.ad6676"]], "adc_frequency (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.adc_frequency"]], "adi.ad6676": [[23, "module-adi.ad6676"]], "bandwidth (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.bandwidth"]], "bw_margin_high (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.bw_margin_high"]], "bw_margin_if (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.bw_margin_if"]], "bw_margin_low (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.bw_margin_low"]], "hardwaregain (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.hardwaregain"]], "intermediate_frequency (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.intermediate_frequency"]], "sampling_frequency (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.sampling_frequency"]], "scale (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.scale"]], "shuffler_control (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.shuffler_control"]], "shuffler_thresh (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.shuffler_thresh"]], "test_mode (adi.ad6676.ad6676 property)": [[23, "adi.ad6676.ad6676.test_mode"]], "ad7124 (class in adi.ad7124)": [[24, "adi.ad7124.ad7124"]], "adi.ad7124": [[24, "module-adi.ad7124"]], "channel (adi.ad7124.ad7124 attribute)": [[24, "adi.ad7124.ad7124.channel"]], "sample_rate (adi.ad7124.ad7124 property)": [[24, "adi.ad7124.ad7124.sample_rate"]], "scale_available (adi.ad7124.ad7124 property)": [[24, "adi.ad7124.ad7124.scale_available"]], "to_volts() (adi.ad7124.ad7124 method)": [[24, "adi.ad7124.ad7124.to_volts"]], "ad7134 (class in adi.ad7134)": [[25, "adi.ad7134.ad7134"]], "adi.ad7134": [[25, "module-adi.ad7134"]], "channels (adi.ad7134.ad7134 attribute)": [[25, "adi.ad7134.ad7134.channels"]], "to_volts() (adi.ad7134.ad7134 method)": [[25, "adi.ad7134.ad7134.to_volts"]], "ad717x (class in adi.ad717x)": [[26, "adi.ad717x.ad717x"]], "adi.ad717x": [[26, "module-adi.ad717x"]], "channel (adi.ad717x.ad717x attribute)": [[26, "adi.ad717x.ad717x.channel"]], "to_volts() (adi.ad717x.ad717x method)": [[26, "adi.ad717x.ad717x.to_volts"]], "ad719x (class in adi.ad719x)": [[27, "adi.ad719x.ad719x"]], "adi.ad719x": [[27, "module-adi.ad719x"]], "channel (adi.ad719x.ad719x attribute)": [[27, "adi.ad719x.ad719x.channel"]], "to_volts() (adi.ad719x.ad719x method)": [[27, "adi.ad719x.ad719x.to_volts"]], "ad7291 (class in adi.ad7291)": [[28, "adi.ad7291.ad7291"]], "adi.ad7291": [[28, "module-adi.ad7291"]], "ad738x (class in adi.ad738x)": [[29, "adi.ad738x.ad738x"]], "adi.ad738x": [[29, "module-adi.ad738x"]], "channel (adi.ad738x.ad738x attribute)": [[29, "adi.ad738x.ad738x.channel"]], "to_volts() (adi.ad738x.ad738x method)": [[29, "adi.ad738x.ad738x.to_volts"]], "ad7606 (class in adi.ad7606)": [[30, "adi.ad7606.ad7606"]], "adi.ad7606": [[30, "module-adi.ad7606"]], "channel (adi.ad7606.ad7606 attribute)": [[30, "adi.ad7606.ad7606.channel"]], "oversampling_ratio (adi.ad7606.ad7606 property)": [[30, "adi.ad7606.ad7606.oversampling_ratio"]], "oversampling_ratio_available (adi.ad7606.ad7606 property)": [[30, "adi.ad7606.ad7606.oversampling_ratio_available"]], "range_available (adi.ad7606.ad7606 property)": [[30, "adi.ad7606.ad7606.range_available"]], "scale_available (adi.ad7606.ad7606 property)": [[30, "adi.ad7606.ad7606.scale_available"]], "to_volts() (adi.ad7606.ad7606 method)": [[30, "adi.ad7606.ad7606.to_volts"]], "ad7689 (class in adi.ad7689)": [[31, "adi.ad7689.ad7689"]], "adi.ad7689": [[31, "module-adi.ad7689"]], "channel (adi.ad7689.ad7689 attribute)": [[31, "adi.ad7689.ad7689.channel"]], "to_volts() (adi.ad7689.ad7689 method)": [[31, "adi.ad7689.ad7689.to_volts"]], "ad7746 (class in adi.ad7746)": [[32, "adi.ad7746.ad7746"]], "adi.ad7746": [[32, "module-adi.ad7746"]], "channel (adi.ad7746.ad7746 attribute)": [[32, "adi.ad7746.ad7746.channel"]], "ad7768 (class in adi.ad7768)": [[33, "adi.ad7768.ad7768"]], "ad7768_4 (class in adi.ad7768)": [[33, "adi.ad7768.ad7768_4"]], "adi.ad7768": [[33, "module-adi.ad7768"]], "filter_type (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.filter_type"]], "filter_type_avail (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.filter_type_avail"]], "power_mode (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.power_mode"]], "power_mode_avail (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.power_mode_avail"]], "sampling_frequency (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.sampling_frequency"]], "sampling_frequency_available (adi.ad7768.ad7768 property)": [[33, "adi.ad7768.ad7768.sampling_frequency_available"]], "sync_start_enable (adi.ad7768.ad7768_4 property)": [[33, "adi.ad7768.ad7768_4.sync_start_enable"]], "sync_start_enable_available (adi.ad7768.ad7768_4 property)": [[33, "adi.ad7768.ad7768_4.sync_start_enable_available"]], "ad777x (class in adi.ad777x)": [[34, "adi.ad777x.ad777x"]], "adi.ad777x": [[34, "module-adi.ad777x"]], "channel (adi.ad777x.ad777x attribute)": [[34, "adi.ad777x.ad777x.channel"]], "to_volts() (adi.ad777x.ad777x method)": [[34, "adi.ad777x.ad777x.to_volts"]], "ad7799 (class in adi.ad7799)": [[35, "adi.ad7799.ad7799"]], "adi.ad7799": [[35, "module-adi.ad7799"]], "channel (adi.ad7799.ad7799 attribute)": [[35, "adi.ad7799.ad7799.channel"]], "gain (adi.ad7799.ad7799 property)": [[35, "adi.ad7799.ad7799.gain"]], "ad9081 (class in adi.ad9081)": [[36, "adi.ad9081.ad9081"]], "adc_frequency (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.adc_frequency"]], "adi.ad9081": [[36, "module-adi.ad9081"]], "api_version (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.api_version"]], "chip_version (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.chip_version"]], "dac_frequency (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.dac_frequency"]], "jesd204_device_status (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_device_status"]], "jesd204_device_status_check (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_device_status_check"]], "jesd204_fsm_ctrl (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_fsm_ctrl"]], "jesd204_fsm_error (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_fsm_error"]], "jesd204_fsm_paused (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_fsm_paused"]], "jesd204_fsm_resume (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_fsm_resume"]], "jesd204_fsm_state (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.jesd204_fsm_state"]], "loopback_mode (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.loopback_mode"]], "path_map (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.path_map"]], "pfilt_config (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.pfilt_config"]], "powerdown (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.powerdown"]], "rx_channel_6db_digital_gains (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_channel_6dB_digital_gains"]], "rx_channel_nco_frequencies (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_channel_nco_frequencies"]], "rx_channel_nco_phases (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_channel_nco_phases"]], "rx_main_6db_digital_gains (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_6dB_digital_gains"]], "rx_main_ffh_gpio_mode_enable (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_ffh_gpio_mode_enable"]], "rx_main_ffh_mode (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_ffh_mode"]], "rx_main_ffh_trig_hop_en (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_ffh_trig_hop_en"]], "rx_main_nco_ffh_index (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_nco_ffh_index"]], "rx_main_nco_ffh_select (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_nco_ffh_select"]], "rx_main_nco_frequencies (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_nco_frequencies"]], "rx_main_nco_phases (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_main_nco_phases"]], "rx_nyquist_zone (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_nyquist_zone"]], "rx_sample_rate (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_sample_rate"]], "rx_test_mode (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.rx_test_mode"]], "set_tx_dac_full_scale_current() (adi.ad9081.ad9081 method)": [[36, "adi.ad9081.ad9081.set_tx_dac_full_scale_current"]], "tx_channel_nco_frequencies (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_channel_nco_frequencies"]], "tx_channel_nco_gain_scales (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_channel_nco_gain_scales"]], "tx_channel_nco_phases (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_channel_nco_phases"]], "tx_channel_nco_test_tone_en (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_channel_nco_test_tone_en"]], "tx_channel_nco_test_tone_scales (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_channel_nco_test_tone_scales"]], "tx_dac_en (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_dac_en"]], "tx_dac_full_scale_current (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_dac_full_scale_current"]], "tx_ddr_offload (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_ddr_offload"]], "tx_main_ffh_frequency (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_ffh_frequency"]], "tx_main_ffh_gpio_mode_enable (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_ffh_gpio_mode_enable"]], "tx_main_ffh_index (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_ffh_index"]], "tx_main_ffh_mode (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_ffh_mode"]], "tx_main_nco_ffh_select (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_nco_ffh_select"]], "tx_main_nco_frequencies (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_nco_frequencies"]], "tx_main_nco_phases (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_nco_phases"]], "tx_main_nco_test_tone_en (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_nco_test_tone_en"]], "tx_main_nco_test_tone_scales (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_main_nco_test_tone_scales"]], "tx_sample_rate (adi.ad9081.ad9081 property)": [[36, "adi.ad9081.ad9081.tx_sample_rate"]], "write_pfilt_config() (adi.ad9081.ad9081 method)": [[36, "adi.ad9081.ad9081.write_pfilt_config"]], "quadmxfe (class in adi.ad9081_mc)": [[37, "adi.ad9081_mc.QuadMxFE"]], "ad9081_mc (class in adi.ad9081_mc)": [[37, "adi.ad9081_mc.ad9081_mc"]], "adi.ad9081_mc": [[37, "module-adi.ad9081_mc"]], "rx_dsa_gain (adi.ad9081_mc.quadmxfe property)": [[37, "adi.ad9081_mc.QuadMxFE.rx_dsa_gain"]], "ad9083 (class in adi.ad9083)": [[38, "adi.ad9083.ad9083"]], "adi.ad9083": [[38, "module-adi.ad9083"]], "nco0_frequency (adi.ad9083.ad9083 property)": [[38, "adi.ad9083.ad9083.nco0_frequency"]], "nco1_frequency (adi.ad9083.ad9083 property)": [[38, "adi.ad9083.ad9083.nco1_frequency"]], "nco2_frequency (adi.ad9083.ad9083 property)": [[38, "adi.ad9083.ad9083.nco2_frequency"]], "reg_read() (adi.ad9083.ad9083 method)": [[38, "adi.ad9083.ad9083.reg_read"]], "reg_write() (adi.ad9083.ad9083 method)": [[38, "adi.ad9083.ad9083.reg_write"]], "rx_sample_rate (adi.ad9083.ad9083 property)": [[38, "adi.ad9083.ad9083.rx_sample_rate"]], "ad9084 (class in adi.ad9084)": [[39, "adi.ad9084.ad9084"]], "adc_frequency (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.adc_frequency"]], "adi.ad9084": [[39, "module-adi.ad9084"]], "api_version (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.api_version"]], "chip_version (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.chip_version"]], "dac_frequency (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.dac_frequency"]], "jesd204_device_status (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_device_status"]], "jesd204_device_status_check (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_device_status_check"]], "jesd204_fsm_ctrl (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_fsm_ctrl"]], "jesd204_fsm_error (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_fsm_error"]], "jesd204_fsm_paused (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_fsm_paused"]], "jesd204_fsm_resume (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_fsm_resume"]], "jesd204_fsm_state (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.jesd204_fsm_state"]], "loopback_mode (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.loopback_mode"]], "path_map (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.path_map"]], "rx_channel_nco_frequencies (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_channel_nco_frequencies"]], "rx_channel_nco_phases (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_channel_nco_phases"]], "rx_main_nco_frequencies (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_main_nco_frequencies"]], "rx_main_nco_phases (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_main_nco_phases"]], "rx_nyquist_zone (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_nyquist_zone"]], "rx_sample_rate (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_sample_rate"]], "rx_test_mode (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.rx_test_mode"]], "tx_channel_nco_frequencies (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_channel_nco_frequencies"]], "tx_channel_nco_gain_scales (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_channel_nco_gain_scales"]], "tx_channel_nco_phases (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_channel_nco_phases"]], "tx_channel_nco_test_tone_en (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_channel_nco_test_tone_en"]], "tx_channel_nco_test_tone_scales (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_channel_nco_test_tone_scales"]], "tx_ddr_offload (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_ddr_offload"]], "tx_main_nco_frequencies (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_main_nco_frequencies"]], "tx_main_nco_phases (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_main_nco_phases"]], "tx_main_nco_test_tone_en (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_main_nco_test_tone_en"]], "tx_main_nco_test_tone_scales (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_main_nco_test_tone_scales"]], "tx_sample_rate (adi.ad9084.ad9084 property)": [[39, "adi.ad9084.ad9084.tx_sample_rate"]], "triton (class in adi.ad9084_mc)": [[40, "adi.ad9084_mc.Triton"]], "ad9084_mc (class in adi.ad9084_mc)": [[40, "adi.ad9084_mc.ad9084_mc"]], "adi.ad9084_mc": [[40, "module-adi.ad9084_mc"]], "rx_dsa_gain (adi.ad9084_mc.triton property)": [[40, "adi.ad9084_mc.Triton.rx_dsa_gain"]], "ad9094 (class in adi.ad9094)": [[41, "adi.ad9094.ad9094"]], "adi.ad9094": [[41, "module-adi.ad9094"]], "ad9136 (class in adi.ad9136)": [[42, "adi.ad9136.ad9136"]], "adi.ad9136": [[42, "module-adi.ad9136"]], "jesd204_statuses (adi.ad9136.ad9136 property)": [[42, "adi.ad9136.ad9136.jesd204_statuses"]], "sample_rate (adi.ad9136.ad9136 property)": [[42, "adi.ad9136.ad9136.sample_rate"]], "ad9144 (class in adi.ad9144)": [[43, "adi.ad9144.ad9144"]], "adi.ad9144": [[43, "module-adi.ad9144"]], "sample_rate (adi.ad9144.ad9144 property)": [[43, "adi.ad9144.ad9144.sample_rate"]], "ad9152 (class in adi.ad9152)": [[44, "adi.ad9152.ad9152"]], "adi.ad9152": [[44, "module-adi.ad9152"]], "sample_rate (adi.ad9152.ad9152 property)": [[44, "adi.ad9152.ad9152.sample_rate"]], "ad9162 (class in adi.ad9162)": [[45, "adi.ad9162.ad9162"]], "adi.ad9162": [[45, "module-adi.ad9162"]], "fir85_enable (adi.ad9162.ad9162 property)": [[45, "adi.ad9162.ad9162.fir85_enable"]], "frequency_nco (adi.ad9162.ad9162 property)": [[45, "adi.ad9162.ad9162.frequency_nco"]], "jesd204_statuses (adi.ad9162.ad9162 property)": [[45, "adi.ad9162.ad9162.jesd204_statuses"]], "sample_rate (adi.ad9162.ad9162 property)": [[45, "adi.ad9162.ad9162.sample_rate"]], "scale (adi.ad9162.ad9162 property)": [[45, "adi.ad9162.ad9162.scale"]], "fir85_enable (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.FIR85_enable"]], "ad9166 (class in adi.ad9166)": [[46, "adi.ad9166.ad9166"]], "adi.ad9166": [[46, "module-adi.ad9166"]], "channel (adi.ad9166.ad9166 attribute)": [[46, "adi.ad9166.ad9166.channel"]], "frequency (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.frequency"]], "nco_enable (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.nco_enable"]], "raw (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.raw"]], "sample_rate (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.sample_rate"]], "sample_rate_available (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.sample_rate_available"]], "temperature (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.temperature"]], "temperature_cal (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.temperature_cal"]], "temperature_code (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.temperature_code"]], "temperature_enable (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.temperature_enable"]], "tx_enable (adi.ad9166.ad9166 property)": [[46, "adi.ad9166.ad9166.tx_enable"]], "ad9172 (class in adi.ad9172)": [[47, "adi.ad9172.ad9172"]], "adi.ad9172": [[47, "module-adi.ad9172"]], "sample_rate (adi.ad9172.ad9172 property)": [[47, "adi.ad9172.ad9172.sample_rate"]], "ad9213 (class in adi.ad9213)": [[48, "adi.ad9213.ad9213"]], "adi.ad9213": [[48, "module-adi.ad9213"]], "ad9250 (class in adi.ad9250)": [[49, "adi.ad9250.ad9250"]], "adi.ad9250": [[49, "module-adi.ad9250"]], "jesd204_statuses (adi.ad9250.ad9250 property)": [[49, "adi.ad9250.ad9250.jesd204_statuses"]], "test_mode (adi.ad9250.ad9250 property)": [[49, "adi.ad9250.ad9250.test_mode"]], "ad9265 (class in adi.ad9265)": [[50, "adi.ad9265.ad9265"]], "adi.ad9265": [[50, "module-adi.ad9265"]], "test_mode (adi.ad9265.ad9265 property)": [[50, "adi.ad9265.ad9265.test_mode"]], "pluto (class in adi.ad936x)": [[51, "adi.ad936x.Pluto"]], "ad9361 (class in adi.ad936x)": [[51, "adi.ad936x.ad9361"]], "ad9363 (class in adi.ad936x)": [[51, "adi.ad936x.ad9363"]], "ad9364 (class in adi.ad936x)": [[51, "adi.ad936x.ad9364"]], "adi.ad936x": [[51, "module-adi.ad936x"]], "filter (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.filter"]], "gain_control_mode_chan0 (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.gain_control_mode_chan0"]], "gain_control_mode_chan1 (adi.ad936x.ad9361 property)": [[51, "adi.ad936x.ad9361.gain_control_mode_chan1"]], "loopback (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.loopback"]], "rx_hardwaregain_chan0 (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.rx_hardwaregain_chan0"]], "rx_hardwaregain_chan1 (adi.ad936x.ad9361 property)": [[51, "adi.ad936x.ad9361.rx_hardwaregain_chan1"]], "rx_lo (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.rx_lo"]], "rx_rf_bandwidth (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.rx_rf_bandwidth"]], "sample_rate (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.sample_rate"]], "tx_hardwaregain_chan0 (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.tx_hardwaregain_chan0"]], "tx_hardwaregain_chan1 (adi.ad936x.ad9361 property)": [[51, "adi.ad936x.ad9361.tx_hardwaregain_chan1"]], "tx_lo (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.tx_lo"]], "tx_rf_bandwidth (adi.ad936x.ad9364 property)": [[51, "adi.ad936x.ad9364.tx_rf_bandwidth"]], "ad9371 (class in adi.ad937x)": [[52, "adi.ad937x.ad9371"]], "ad9375 (class in adi.ad937x)": [[52, "adi.ad937x.ad9375"]], "adi.ad937x": [[52, "module-adi.ad937x"]], "ensm_mode (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.ensm_mode"]], "gain_control_mode (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.gain_control_mode"]], "jesd204_statuses (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.jesd204_statuses"]], "obs_gain_control_mode (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.obs_gain_control_mode"]], "obs_hardwaregain (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.obs_hardwaregain"]], "obs_quadrature_tracking_en (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.obs_quadrature_tracking_en"]], "obs_rf_port_select (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.obs_rf_port_select"]], "obs_temp_comp_gain (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.obs_temp_comp_gain"]], "orx_sample_rate (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.orx_sample_rate"]], "profile (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.profile"]], "rx_enable_dec8 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_enable_dec8"]], "rx_hardwaregain_chan0 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_hardwaregain_chan0"]], "rx_hardwaregain_chan1 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_hardwaregain_chan1"]], "rx_lo (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_lo"]], "rx_quadrature_tracking_en_chan0 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_quadrature_tracking_en_chan0"]], "rx_quadrature_tracking_en_chan1 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_quadrature_tracking_en_chan1"]], "rx_rf_bandwidth (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_rf_bandwidth"]], "rx_sample_rate (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_sample_rate"]], "rx_temp_comp_gain_chan0 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_temp_comp_gain_chan0"]], "rx_temp_comp_gain_chan1 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.rx_temp_comp_gain_chan1"]], "sn_lo (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.sn_lo"]], "tx_clgc_current_gain_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_current_gain_chan0"]], "tx_clgc_current_gain_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_current_gain_chan1"]], "tx_clgc_desired_gain_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_desired_gain_chan0"]], "tx_clgc_desired_gain_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_desired_gain_chan1"]], "tx_clgc_orx_rms_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_orx_rms_chan0"]], "tx_clgc_track_count_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_track_count_chan0"]], "tx_clgc_track_count_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_track_count_chan1"]], "tx_clgc_tracking_en_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tracking_en_chan0"]], "tx_clgc_tracking_en_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tracking_en_chan1"]], "tx_clgc_tx_gain_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tx_gain_chan0"]], "tx_clgc_tx_gain_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tx_gain_chan1"]], "tx_clgc_tx_rms_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tx_rms_chan0"]], "tx_clgc_tx_rms_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_clgc_tx_rms_chan1"]], "tx_dpd_actuator_en_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_actuator_en_chan0"]], "tx_dpd_actuator_en_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_actuator_en_chan1"]], "tx_dpd_external_path_delay_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_external_path_delay_chan0"]], "tx_dpd_external_path_delay_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_external_path_delay_chan1"]], "tx_dpd_model_error_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_model_error_chan0"]], "tx_dpd_model_error_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_model_error_chan1"]], "tx_dpd_reset_en_chan0() (adi.ad937x.ad9375 method)": [[52, "adi.ad937x.ad9375.tx_dpd_reset_en_chan0"]], "tx_dpd_reset_en_chan1() (adi.ad937x.ad9375 method)": [[52, "adi.ad937x.ad9375.tx_dpd_reset_en_chan1"]], "tx_dpd_status_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_status_chan0"]], "tx_dpd_status_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_status_chan1"]], "tx_dpd_track_count_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_track_count_chan0"]], "tx_dpd_track_count_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_track_count_chan1"]], "tx_dpd_tracking_en_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_tracking_en_chan0"]], "tx_dpd_tracking_en_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_dpd_tracking_en_chan1"]], "tx_enable_int8 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_enable_int8"]], "tx_hardwaregain_chan0 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_hardwaregain_chan0"]], "tx_hardwaregain_chan1 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_hardwaregain_chan1"]], "tx_lo (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_lo"]], "tx_quadrature_tracking_en_chan0 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_quadrature_tracking_en_chan0"]], "tx_quadrature_tracking_en_chan1 (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_quadrature_tracking_en_chan1"]], "tx_rf_bandwidth (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_rf_bandwidth"]], "tx_sample_rate (adi.ad937x.ad9371 property)": [[52, "adi.ad937x.ad9371.tx_sample_rate"]], "tx_vswr_forward_gain_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_chan0"]], "tx_vswr_forward_gain_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_chan1"]], "tx_vswr_forward_gain_imag_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_imag_chan0"]], "tx_vswr_forward_gain_imag_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_imag_chan1"]], "tx_vswr_forward_gain_real_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_real_chan0"]], "tx_vswr_forward_gain_real_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_gain_real_chan1"]], "tx_vswr_forward_orx_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_orx_chan0"]], "tx_vswr_forward_tx_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_tx_chan0"]], "tx_vswr_forward_tx_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_forward_tx_chan1"]], "tx_vswr_reflected_gain_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_chan0"]], "tx_vswr_reflected_gain_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_chan1"]], "tx_vswr_reflected_gain_imag_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_imag_chan0"]], "tx_vswr_reflected_gain_imag_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_imag_chan1"]], "tx_vswr_reflected_gain_real_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_real_chan0"]], "tx_vswr_reflected_gain_real_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_gain_real_chan1"]], "tx_vswr_reflected_orx_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_orx_chan0"]], "tx_vswr_reflected_tx_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_tx_chan0"]], "tx_vswr_reflected_tx_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_reflected_tx_chan1"]], "tx_vswr_track_count_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_track_count_chan0"]], "tx_vswr_track_count_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_track_count_chan1"]], "tx_vswr_tracking_en_chan0 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_tracking_en_chan0"]], "tx_vswr_tracking_en_chan1 (adi.ad937x.ad9375 property)": [[52, "adi.ad937x.ad9375.tx_vswr_tracking_en_chan1"]], "ad9434 (class in adi.ad9434)": [[53, "adi.ad9434.ad9434"]], "adi.ad9434": [[53, "module-adi.ad9434"]], "test_mode (adi.ad9434.ad9434 property)": [[53, "adi.ad9434.ad9434.test_mode"]], "ad9467 (class in adi.ad9467)": [[54, "adi.ad9467.ad9467"]], "adi.ad9467": [[54, "module-adi.ad9467"]], "test_mode (adi.ad9467.ad9467 property)": [[54, "adi.ad9467.ad9467.test_mode"]], "ad9625 (class in adi.ad9625)": [[55, "adi.ad9625.ad9625"]], "adi.ad9625": [[55, "module-adi.ad9625"]], "jesd204_statuses (adi.ad9625.ad9625 property)": [[55, "adi.ad9625.ad9625.jesd204_statuses"]], "rx_sample_rate (adi.ad9625.ad9625 property)": [[55, "adi.ad9625.ad9625.rx_sample_rate"]], "scale (adi.ad9625.ad9625 property)": [[55, "adi.ad9625.ad9625.scale"]], "scale_available (adi.ad9625.ad9625 property)": [[55, "adi.ad9625.ad9625.scale_available"]], "test_mode (adi.ad9625.ad9625 property)": [[55, "adi.ad9625.ad9625.test_mode"]], "ad9680 (class in adi.ad9680)": [[56, "adi.ad9680.ad9680"]], "adi.ad9680": [[56, "module-adi.ad9680"]], "test_mode (adi.ad9680.ad9680 property)": [[56, "adi.ad9680.ad9680.test_mode"]], "ad9739a (class in adi.ad9739a)": [[57, "adi.ad9739a.ad9739a"]], "adi.ad9739a": [[57, "module-adi.ad9739a"]], "sample_rate (adi.ad9739a.ad9739a property)": [[57, "adi.ad9739a.ad9739a.sample_rate"]], "ada4961 (class in adi.ada4961)": [[58, "adi.ada4961.ada4961"]], "adi.ada4961": [[58, "module-adi.ada4961"]], "hardwaregain (adi.ada4961.ada4961 property)": [[58, "adi.ada4961.ada4961.hardwaregain"]], "adaq8092 (class in adi.adaq8092)": [[59, "adi.adaq8092.adaq8092"]], "adi.adaq8092": [[59, "module-adi.adaq8092"]], "sampling_frequency (adi.adaq8092.adaq8092 property)": [[59, "adi.adaq8092.adaq8092.sampling_frequency"]], "adar1000 (class in adi.adar1000)": [[60, "adi.adar1000.adar1000"]], "adar1000.adar1000_channel (class in adi.adar1000)": [[60, "adi.adar1000.adar1000.adar1000_channel"]], "adar1000_array (class in adi.adar1000)": [[60, "adi.adar1000.adar1000_array"]], "adar1000_channel (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.adar1000_channel"]], "adar1000_parent (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.adar1000_parent"]], "adi.adar1000": [[60, "module-adi.adar1000"]], "all_rx_attenuators (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_rx_attenuators"]], "all_rx_gains (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_rx_gains"]], "all_rx_phases (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_rx_phases"]], "all_tx_attenuators (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_tx_attenuators"]], "all_tx_gains (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_tx_gains"]], "all_tx_phases (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.all_tx_phases"]], "array_device_number (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.array_device_number"]], "array_element_number (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.array_element_number"]], "beam_mem_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.beam_mem_enable"]], "bias_dac_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.bias_dac_enable"]], "bias_dac_mode (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.bias_dac_mode"]], "bias_mem_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.bias_mem_enable"]], "calculate_phi() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.calculate_phi"]], "channel1 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.channel1"]], "channel2 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.channel2"]], "channel3 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.channel3"]], "channel4 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.channel4"]], "channels (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.channels"]], "chip_id (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.chip_id"]], "column (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.column"]], "common_mem_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.common_mem_enable"]], "common_rx_beam_state (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.common_rx_beam_state"]], "common_tx_beam_state (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.common_tx_beam_state"]], "detector_power (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.detector_power"]], "device_map (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.device_map"]], "devices (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.devices"]], "element_map (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.element_map"]], "element_spacing (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.element_spacing"]], "elements (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.elements"]], "external_tr_pin (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.external_tr_pin"]], "external_tr_polarity (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.external_tr_polarity"]], "frequency (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.frequency"]], "generate_clocks() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.generate_clocks"]], "initialize() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.initialize"]], "initialize_devices() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.initialize_devices"]], "latch_rx_settings() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.latch_rx_settings"]], "latch_rx_settings() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.latch_rx_settings"]], "latch_tx_settings() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.latch_tx_settings"]], "latch_tx_settings() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.latch_tx_settings"]], "lna_bias_off (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.lna_bias_off"]], "lna_bias_on (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.lna_bias_on"]], "lna_bias_out_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.lna_bias_out_enable"]], "mode (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.mode"]], "pa_bias_off (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.pa_bias_off"]], "pa_bias_on (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.pa_bias_on"]], "pol_state (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.pol_state"]], "pol_switch_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.pol_switch_enable"]], "reset() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.reset"]], "row (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.row"]], "rx_attenuator (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.rx_attenuator"]], "rx_azimuth (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.rx_azimuth"]], "rx_azimuth_phi (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.rx_azimuth_phi"]], "rx_beam_state (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.rx_beam_state"]], "rx_bias_state (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_bias_state"]], "rx_elevation (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.rx_elevation"]], "rx_elevation_phi (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.rx_elevation_phi"]], "rx_enable (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.rx_enable"]], "rx_gain (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.rx_gain"]], "rx_lna_bias_current (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_lna_bias_current"]], "rx_lna_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_lna_enable"]], "rx_phase (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.rx_phase"]], "rx_sequencer_start (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_sequencer_start"]], "rx_sequencer_stop (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_sequencer_stop"]], "rx_to_tx_delay_1 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_to_tx_delay_1"]], "rx_to_tx_delay_2 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_to_tx_delay_2"]], "rx_vga_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_vga_enable"]], "rx_vga_vm_bias_current (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_vga_vm_bias_current"]], "rx_vm_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.rx_vm_enable"]], "save_rx_beam() (adi.adar1000.adar1000.adar1000_channel method)": [[60, "adi.adar1000.adar1000.adar1000_channel.save_rx_beam"]], "save_rx_bias() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.save_rx_bias"]], "save_tx_beam() (adi.adar1000.adar1000.adar1000_channel method)": [[60, "adi.adar1000.adar1000.adar1000_channel.save_tx_beam"]], "save_tx_bias() (adi.adar1000.adar1000 method)": [[60, "adi.adar1000.adar1000.save_tx_bias"]], "sequencer_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.sequencer_enable"]], "steer_rx() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.steer_rx"]], "steer_tx() (adi.adar1000.adar1000_array method)": [[60, "adi.adar1000.adar1000_array.steer_tx"]], "temperature (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.temperature"]], "temperatures (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.temperatures"]], "tr_source (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tr_source"]], "tr_spi (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tr_spi"]], "tr_switch_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tr_switch_enable"]], "tx_attenuator (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.tx_attenuator"]], "tx_azimuth (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.tx_azimuth"]], "tx_azimuth_phi (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.tx_azimuth_phi"]], "tx_beam_state (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.tx_beam_state"]], "tx_bias_state (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_bias_state"]], "tx_elevation (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.tx_elevation"]], "tx_elevation_phi (adi.adar1000.adar1000_array property)": [[60, "adi.adar1000.adar1000_array.tx_elevation_phi"]], "tx_enable (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.tx_enable"]], "tx_gain (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.tx_gain"]], "tx_pa_bias_current (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_pa_bias_current"]], "tx_pa_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_pa_enable"]], "tx_phase (adi.adar1000.adar1000.adar1000_channel property)": [[60, "adi.adar1000.adar1000.adar1000_channel.tx_phase"]], "tx_sequencer_start (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_sequencer_start"]], "tx_sequencer_stop (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_sequencer_stop"]], "tx_to_rx_delay_1 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_to_rx_delay_1"]], "tx_to_rx_delay_2 (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_to_rx_delay_2"]], "tx_vga_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_vga_enable"]], "tx_vga_vm_bias_current (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_vga_vm_bias_current"]], "tx_vm_enable (adi.adar1000.adar1000 property)": [[60, "adi.adar1000.adar1000.tx_vm_enable"]], "adf4159 (class in adi.adf4159)": [[61, "adi.adf4159.adf4159"]], "adi.adf4159": [[61, "module-adi.adf4159"]], "clk1_div_value (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.clk1_div_value"]], "clk1_mode (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.clk1_mode"]], "clk2_div_value (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.clk2_div_value"]], "delay_clk (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.delay_clk"]], "delay_start_en (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.delay_start_en"]], "delay_word (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.delay_word"]], "enable (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.enable"]], "freq_dev_range (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.freq_dev_range"]], "freq_dev_step (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.freq_dev_step"]], "freq_dev_time (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.freq_dev_time"]], "frequency (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.frequency"]], "muxout_sel (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.muxout_sel"]], "phase_value (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.phase_value"]], "ramp_delay_en (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.ramp_delay_en"]], "ramp_en (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.ramp_en"]], "ramp_mode (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.ramp_mode"]], "sing_ful_tri (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.sing_ful_tri"]], "trig_delay_en (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.trig_delay_en"]], "tx_trig_en (adi.adf4159.adf4159 property)": [[61, "adi.adf4159.adf4159.tx_trig_en"]], "adf4355 (class in adi.adf4355)": [[62, "adi.adf4355.adf4355"]], "adi.adf4355": [[62, "module-adi.adf4355"]], "frequency_altvolt0 (adi.adf4355.adf4355 property)": [[62, "adi.adf4355.adf4355.frequency_altvolt0"]], "frequency_altvolt1 (adi.adf4355.adf4355 property)": [[62, "adi.adf4355.adf4355.frequency_altvolt1"]], "powerdown_altvolt0 (adi.adf4355.adf4355 property)": [[62, "adi.adf4355.adf4355.powerdown_altvolt0"]], "powerdown_altvolt1 (adi.adf4355.adf4355 property)": [[62, "adi.adf4355.adf4355.powerdown_altvolt1"]], "adf4371 (class in adi.adf4371)": [[63, "adi.adf4371.adf4371"]], "adi.adf4371": [[63, "module-adi.adf4371"]], "muxout_mode (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.muxout_mode"]], "rf16_enable (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf16_enable"]], "rf16_frequency (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf16_frequency"]], "rf32_enable (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf32_enable"]], "rf32_frequency (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf32_frequency"]], "rf8_enable (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf8_enable"]], "rf8_frequency (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rf8_frequency"]], "rfaux8_enable (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rfaux8_enable"]], "rfaux8_frequency (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.rfaux8_frequency"]], "temperature (adi.adf4371.adf4371 property)": [[63, "adi.adf4371.adf4371.temperature"]], "adf5610 (class in adi.adf5610)": [[64, "adi.adf5610.adf5610"]], "adi.adf5610": [[64, "module-adi.adf5610"]], "frequency (adi.adf5610.adf5610 property)": [[64, "adi.adf5610.adf5610.frequency"]], "reg_read() (adi.adf5610.adf5610 method)": [[64, "adi.adf5610.adf5610.reg_read"]], "reg_write() (adi.adf5610.adf5610 method)": [[64, "adi.adf5610.adf5610.reg_write"]], "add() (adi.adg2128.adg2128 method)": [[65, "adi.adg2128.adg2128.add"]], "adg2128 (class in adi.adg2128)": [[65, "adi.adg2128.adg2128"]], "adi.adg2128": [[65, "module-adi.adg2128"]], "immediate (adi.adg2128.adg2128 property)": [[65, "adi.adg2128.adg2128.immediate"]], "open_all() (adi.adg2128.adg2128 method)": [[65, "adi.adg2128.adg2128.open_all"]], "yline (class in adi.adg2128)": [[65, "adi.adg2128.yline"]], "accel_x_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.accel_x_calibscale"]], "accel_x_conv (adi.adis16375 property)": [[66, "adi.adis16375.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.accel_y_calibscale"]], "accel_y_conv (adi.adis16375 property)": [[66, "adi.adis16375.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.accel_z_calibscale"]], "accel_z_conv (adi.adis16375 property)": [[66, "adi.adis16375.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.accel_z_filter_low_pass_3db_frequency"]], "adis16375 (class in adi)": [[66, "adi.adis16375"]], "anglvel_x_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16375 property)": [[66, "adi.adis16375.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16375 attribute)": [[66, "adi.adis16375.compatible_parts"]], "ctx (adi.adis16375 property)": [[66, "adi.adis16375.ctx"]], "current_timestamp_clock (adi.adis16375 property)": [[66, "adi.adis16375.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16375 property)": [[66, "adi.adis16375.deltavelocity_z_conv"]], "disable_trigger (adi.adis16375 attribute)": [[66, "adi.adis16375.disable_trigger"]], "firmware_date (adi.adis16375 property)": [[66, "adi.adis16375.firmware_date"]], "firmware_revision (adi.adis16375 property)": [[66, "adi.adis16375.firmware_revision"]], "flash_count (adi.adis16375 property)": [[66, "adi.adis16375.flash_count"]], "get_accel_x() (adi.adis16375 method)": [[66, "adi.adis16375.get_accel_x"]], "get_accel_y() (adi.adis16375 method)": [[66, "adi.adis16375.get_accel_y"]], "get_accel_z() (adi.adis16375 method)": [[66, "adi.adis16375.get_accel_z"]], "get_anglvel_x() (adi.adis16375 method)": [[66, "adi.adis16375.get_anglvel_x"]], "get_anglvel_y() (adi.adis16375 method)": [[66, "adi.adis16375.get_anglvel_y"]], "get_anglvel_z() (adi.adis16375 method)": [[66, "adi.adis16375.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16375 method)": [[66, "adi.adis16375.get_deltavelocity_z"]], "get_temp() (adi.adis16375 method)": [[66, "adi.adis16375.get_temp"]], "product_id (adi.adis16375 property)": [[66, "adi.adis16375.product_id"]], "rx() (adi.adis16375 method)": [[66, "adi.adis16375.rx"]], "rx_annotated (adi.adis16375 property)": [[66, "adi.adis16375.rx_annotated"]], "rx_buffer_size (adi.adis16375 property)": [[66, "adi.adis16375.rx_buffer_size"]], "rx_channel_names (adi.adis16375 property)": [[66, "adi.adis16375.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16375 method)": [[66, "adi.adis16375.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16375 property)": [[66, "adi.adis16375.rx_enabled_channels"]], "rx_output_type (adi.adis16375 property)": [[66, "adi.adis16375.rx_output_type"]], "sample_rate (adi.adis16375 property)": [[66, "adi.adis16375.sample_rate"]], "serial_number (adi.adis16375 property)": [[66, "adi.adis16375.serial_number"]], "temp_conv (adi.adis16375 property)": [[66, "adi.adis16375.temp_conv"]], "adis16460 (class in adi)": [[67, "adi.adis16460"]], "ctx (adi.adis16460 property)": [[67, "adi.adis16460.ctx"]], "current_timestamp_clock (adi.adis16460 property)": [[67, "adi.adis16460.current_timestamp_clock"]], "rx() (adi.adis16460 method)": [[67, "adi.adis16460.rx"]], "rx_annotated (adi.adis16460 property)": [[67, "adi.adis16460.rx_annotated"]], "rx_buffer_size (adi.adis16460 property)": [[67, "adi.adis16460.rx_buffer_size"]], "rx_channel_names (adi.adis16460 property)": [[67, "adi.adis16460.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16460 method)": [[67, "adi.adis16460.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16460 property)": [[67, "adi.adis16460.rx_enabled_channels"]], "rx_output_type (adi.adis16460 property)": [[67, "adi.adis16460.rx_output_type"]], "sample_rate (adi.adis16460 property)": [[67, "adi.adis16460.sample_rate"]], "accel_x_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.accel_x_calibbias"]], "accel_x_conv (adi.adis16475 property)": [[68, "adi.adis16475.accel_x_conv"]], "accel_y_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.accel_y_calibbias"]], "accel_y_conv (adi.adis16475 property)": [[68, "adi.adis16475.accel_y_conv"]], "accel_z_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.accel_z_calibbias"]], "accel_z_conv (adi.adis16475 property)": [[68, "adi.adis16475.accel_z_conv"]], "adis16475 (class in adi)": [[68, "adi.adis16475"]], "anglvel_x_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_x_calibbias"]], "anglvel_x_conv (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_x_conv"]], "anglvel_y_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_y_calibbias"]], "anglvel_y_conv (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_y_conv"]], "anglvel_z_calibbias (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_z_calibbias"]], "anglvel_z_conv (adi.adis16475 property)": [[68, "adi.adis16475.anglvel_z_conv"]], "ctx (adi.adis16475 property)": [[68, "adi.adis16475.ctx"]], "deltaangl_x_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16475 property)": [[68, "adi.adis16475.deltavelocity_z_conv"]], "filter_low_pass_3db_frequency (adi.adis16475 property)": [[68, "adi.adis16475.filter_low_pass_3db_frequency"]], "firmware_date (adi.adis16475 property)": [[68, "adi.adis16475.firmware_date"]], "firmware_revision (adi.adis16475 property)": [[68, "adi.adis16475.firmware_revision"]], "flash_count (adi.adis16475 property)": [[68, "adi.adis16475.flash_count"]], "get_accel_x() (adi.adis16475 method)": [[68, "adi.adis16475.get_accel_x"]], "get_accel_y() (adi.adis16475 method)": [[68, "adi.adis16475.get_accel_y"]], "get_accel_z() (adi.adis16475 method)": [[68, "adi.adis16475.get_accel_z"]], "get_anglvel_x() (adi.adis16475 method)": [[68, "adi.adis16475.get_anglvel_x"]], "get_anglvel_y() (adi.adis16475 method)": [[68, "adi.adis16475.get_anglvel_y"]], "get_anglvel_z() (adi.adis16475 method)": [[68, "adi.adis16475.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16475 method)": [[68, "adi.adis16475.get_deltavelocity_z"]], "get_temp() (adi.adis16475 method)": [[68, "adi.adis16475.get_temp"]], "product_id (adi.adis16475 property)": [[68, "adi.adis16475.product_id"]], "reg_read() (adi.adis16475 method)": [[68, "adi.adis16475.reg_read"]], "reg_write() (adi.adis16475 method)": [[68, "adi.adis16475.reg_write"]], "rx() (adi.adis16475 method)": [[68, "adi.adis16475.rx"]], "rx_annotated (adi.adis16475 property)": [[68, "adi.adis16475.rx_annotated"]], "rx_buffer_size (adi.adis16475 property)": [[68, "adi.adis16475.rx_buffer_size"]], "rx_channel_names (adi.adis16475 property)": [[68, "adi.adis16475.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16475 method)": [[68, "adi.adis16475.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16475 property)": [[68, "adi.adis16475.rx_enabled_channels"]], "rx_output_type (adi.adis16475 property)": [[68, "adi.adis16475.rx_output_type"]], "sample_rate (adi.adis16475 property)": [[68, "adi.adis16475.sample_rate"]], "serial_number (adi.adis16475 property)": [[68, "adi.adis16475.serial_number"]], "temp_conv (adi.adis16475 property)": [[68, "adi.adis16475.temp_conv"]], "accel_x_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.accel_x_calibscale"]], "accel_x_conv (adi.adis16480 property)": [[69, "adi.adis16480.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.accel_y_calibscale"]], "accel_y_conv (adi.adis16480 property)": [[69, "adi.adis16480.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.accel_z_calibscale"]], "accel_z_conv (adi.adis16480 property)": [[69, "adi.adis16480.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.accel_z_filter_low_pass_3db_frequency"]], "adis16480 (class in adi)": [[69, "adi.adis16480"]], "anglvel_x_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16480 attribute)": [[69, "adi.adis16480.compatible_parts"]], "ctx (adi.adis16480 property)": [[69, "adi.adis16480.ctx"]], "current_timestamp_clock (adi.adis16480 property)": [[69, "adi.adis16480.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16480 property)": [[69, "adi.adis16480.deltavelocity_z_conv"]], "disable_trigger (adi.adis16480 attribute)": [[69, "adi.adis16480.disable_trigger"]], "firmware_date (adi.adis16480 property)": [[69, "adi.adis16480.firmware_date"]], "firmware_revision (adi.adis16480 property)": [[69, "adi.adis16480.firmware_revision"]], "flash_count (adi.adis16480 property)": [[69, "adi.adis16480.flash_count"]], "get_accel_x() (adi.adis16480 method)": [[69, "adi.adis16480.get_accel_x"]], "get_accel_y() (adi.adis16480 method)": [[69, "adi.adis16480.get_accel_y"]], "get_accel_z() (adi.adis16480 method)": [[69, "adi.adis16480.get_accel_z"]], "get_anglvel_x() (adi.adis16480 method)": [[69, "adi.adis16480.get_anglvel_x"]], "get_anglvel_y() (adi.adis16480 method)": [[69, "adi.adis16480.get_anglvel_y"]], "get_anglvel_z() (adi.adis16480 method)": [[69, "adi.adis16480.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16480 method)": [[69, "adi.adis16480.get_deltavelocity_z"]], "get_magn_x() (adi.adis16480 method)": [[69, "adi.adis16480.get_magn_x"]], "get_magn_y() (adi.adis16480 method)": [[69, "adi.adis16480.get_magn_y"]], "get_magn_z() (adi.adis16480 method)": [[69, "adi.adis16480.get_magn_z"]], "get_pressure() (adi.adis16480 method)": [[69, "adi.adis16480.get_pressure"]], "get_temp() (adi.adis16480 method)": [[69, "adi.adis16480.get_temp"]], "magn_x_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.magn_x_calibbias"]], "magn_x_conv (adi.adis16480 property)": [[69, "adi.adis16480.magn_x_conv"]], "magn_x_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.magn_x_filter_low_pass_3db_frequency"]], "magn_y_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.magn_y_calibbias"]], "magn_y_conv (adi.adis16480 property)": [[69, "adi.adis16480.magn_y_conv"]], "magn_y_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.magn_y_filter_low_pass_3db_frequency"]], "magn_z_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.magn_z_calibbias"]], "magn_z_conv (adi.adis16480 property)": [[69, "adi.adis16480.magn_z_conv"]], "magn_z_filter_low_pass_3db_frequency (adi.adis16480 property)": [[69, "adi.adis16480.magn_z_filter_low_pass_3db_frequency"]], "pressure_calibbias (adi.adis16480 property)": [[69, "adi.adis16480.pressure_calibbias"]], "pressure_conv (adi.adis16480 property)": [[69, "adi.adis16480.pressure_conv"]], "product_id (adi.adis16480 property)": [[69, "adi.adis16480.product_id"]], "rx() (adi.adis16480 method)": [[69, "adi.adis16480.rx"]], "rx_annotated (adi.adis16480 property)": [[69, "adi.adis16480.rx_annotated"]], "rx_buffer_size (adi.adis16480 property)": [[69, "adi.adis16480.rx_buffer_size"]], "rx_channel_names (adi.adis16480 property)": [[69, "adi.adis16480.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16480 method)": [[69, "adi.adis16480.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16480 property)": [[69, "adi.adis16480.rx_enabled_channels"]], "rx_output_type (adi.adis16480 property)": [[69, "adi.adis16480.rx_output_type"]], "sample_rate (adi.adis16480 property)": [[69, "adi.adis16480.sample_rate"]], "serial_number (adi.adis16480 property)": [[69, "adi.adis16480.serial_number"]], "temp_conv (adi.adis16480 property)": [[69, "adi.adis16480.temp_conv"]], "accel_x_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.accel_x_calibscale"]], "accel_x_conv (adi.adis16485 property)": [[70, "adi.adis16485.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.accel_y_calibscale"]], "accel_y_conv (adi.adis16485 property)": [[70, "adi.adis16485.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.accel_z_calibscale"]], "accel_z_conv (adi.adis16485 property)": [[70, "adi.adis16485.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.accel_z_filter_low_pass_3db_frequency"]], "adis16485 (class in adi)": [[70, "adi.adis16485"]], "anglvel_x_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16485 property)": [[70, "adi.adis16485.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16485 attribute)": [[70, "adi.adis16485.compatible_parts"]], "ctx (adi.adis16485 property)": [[70, "adi.adis16485.ctx"]], "current_timestamp_clock (adi.adis16485 property)": [[70, "adi.adis16485.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16485 property)": [[70, "adi.adis16485.deltavelocity_z_conv"]], "disable_trigger (adi.adis16485 attribute)": [[70, "adi.adis16485.disable_trigger"]], "firmware_date (adi.adis16485 property)": [[70, "adi.adis16485.firmware_date"]], "firmware_revision (adi.adis16485 property)": [[70, "adi.adis16485.firmware_revision"]], "flash_count (adi.adis16485 property)": [[70, "adi.adis16485.flash_count"]], "get_accel_x() (adi.adis16485 method)": [[70, "adi.adis16485.get_accel_x"]], "get_accel_y() (adi.adis16485 method)": [[70, "adi.adis16485.get_accel_y"]], "get_accel_z() (adi.adis16485 method)": [[70, "adi.adis16485.get_accel_z"]], "get_anglvel_x() (adi.adis16485 method)": [[70, "adi.adis16485.get_anglvel_x"]], "get_anglvel_y() (adi.adis16485 method)": [[70, "adi.adis16485.get_anglvel_y"]], "get_anglvel_z() (adi.adis16485 method)": [[70, "adi.adis16485.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16485 method)": [[70, "adi.adis16485.get_deltavelocity_z"]], "get_temp() (adi.adis16485 method)": [[70, "adi.adis16485.get_temp"]], "product_id (adi.adis16485 property)": [[70, "adi.adis16485.product_id"]], "rx() (adi.adis16485 method)": [[70, "adi.adis16485.rx"]], "rx_annotated (adi.adis16485 property)": [[70, "adi.adis16485.rx_annotated"]], "rx_buffer_size (adi.adis16485 property)": [[70, "adi.adis16485.rx_buffer_size"]], "rx_channel_names (adi.adis16485 property)": [[70, "adi.adis16485.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16485 method)": [[70, "adi.adis16485.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16485 property)": [[70, "adi.adis16485.rx_enabled_channels"]], "rx_output_type (adi.adis16485 property)": [[70, "adi.adis16485.rx_output_type"]], "sample_rate (adi.adis16485 property)": [[70, "adi.adis16485.sample_rate"]], "serial_number (adi.adis16485 property)": [[70, "adi.adis16485.serial_number"]], "temp_conv (adi.adis16485 property)": [[70, "adi.adis16485.temp_conv"]], "accel_x_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.accel_x_calibscale"]], "accel_x_conv (adi.adis16488 property)": [[71, "adi.adis16488.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.accel_y_calibscale"]], "accel_y_conv (adi.adis16488 property)": [[71, "adi.adis16488.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.accel_z_calibscale"]], "accel_z_conv (adi.adis16488 property)": [[71, "adi.adis16488.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.accel_z_filter_low_pass_3db_frequency"]], "adis16488 (class in adi)": [[71, "adi.adis16488"]], "anglvel_x_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16488 attribute)": [[71, "adi.adis16488.compatible_parts"]], "ctx (adi.adis16488 property)": [[71, "adi.adis16488.ctx"]], "current_timestamp_clock (adi.adis16488 property)": [[71, "adi.adis16488.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16488 property)": [[71, "adi.adis16488.deltavelocity_z_conv"]], "disable_trigger (adi.adis16488 attribute)": [[71, "adi.adis16488.disable_trigger"]], "firmware_date (adi.adis16488 property)": [[71, "adi.adis16488.firmware_date"]], "firmware_revision (adi.adis16488 property)": [[71, "adi.adis16488.firmware_revision"]], "flash_count (adi.adis16488 property)": [[71, "adi.adis16488.flash_count"]], "get_accel_x() (adi.adis16488 method)": [[71, "adi.adis16488.get_accel_x"]], "get_accel_y() (adi.adis16488 method)": [[71, "adi.adis16488.get_accel_y"]], "get_accel_z() (adi.adis16488 method)": [[71, "adi.adis16488.get_accel_z"]], "get_anglvel_x() (adi.adis16488 method)": [[71, "adi.adis16488.get_anglvel_x"]], "get_anglvel_y() (adi.adis16488 method)": [[71, "adi.adis16488.get_anglvel_y"]], "get_anglvel_z() (adi.adis16488 method)": [[71, "adi.adis16488.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16488 method)": [[71, "adi.adis16488.get_deltavelocity_z"]], "get_magn_x() (adi.adis16488 method)": [[71, "adi.adis16488.get_magn_x"]], "get_magn_y() (adi.adis16488 method)": [[71, "adi.adis16488.get_magn_y"]], "get_magn_z() (adi.adis16488 method)": [[71, "adi.adis16488.get_magn_z"]], "get_pressure() (adi.adis16488 method)": [[71, "adi.adis16488.get_pressure"]], "get_temp() (adi.adis16488 method)": [[71, "adi.adis16488.get_temp"]], "magn_x_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.magn_x_calibbias"]], "magn_x_conv (adi.adis16488 property)": [[71, "adi.adis16488.magn_x_conv"]], "magn_x_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.magn_x_filter_low_pass_3db_frequency"]], "magn_y_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.magn_y_calibbias"]], "magn_y_conv (adi.adis16488 property)": [[71, "adi.adis16488.magn_y_conv"]], "magn_y_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.magn_y_filter_low_pass_3db_frequency"]], "magn_z_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.magn_z_calibbias"]], "magn_z_conv (adi.adis16488 property)": [[71, "adi.adis16488.magn_z_conv"]], "magn_z_filter_low_pass_3db_frequency (adi.adis16488 property)": [[71, "adi.adis16488.magn_z_filter_low_pass_3db_frequency"]], "pressure_calibbias (adi.adis16488 property)": [[71, "adi.adis16488.pressure_calibbias"]], "pressure_conv (adi.adis16488 property)": [[71, "adi.adis16488.pressure_conv"]], "product_id (adi.adis16488 property)": [[71, "adi.adis16488.product_id"]], "rx() (adi.adis16488 method)": [[71, "adi.adis16488.rx"]], "rx_annotated (adi.adis16488 property)": [[71, "adi.adis16488.rx_annotated"]], "rx_buffer_size (adi.adis16488 property)": [[71, "adi.adis16488.rx_buffer_size"]], "rx_channel_names (adi.adis16488 property)": [[71, "adi.adis16488.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16488 method)": [[71, "adi.adis16488.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16488 property)": [[71, "adi.adis16488.rx_enabled_channels"]], "rx_output_type (adi.adis16488 property)": [[71, "adi.adis16488.rx_output_type"]], "sample_rate (adi.adis16488 property)": [[71, "adi.adis16488.sample_rate"]], "serial_number (adi.adis16488 property)": [[71, "adi.adis16488.serial_number"]], "temp_conv (adi.adis16488 property)": [[71, "adi.adis16488.temp_conv"]], "accel_x_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.accel_x_calibscale"]], "accel_x_conv (adi.adis16490 property)": [[72, "adi.adis16490.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.accel_y_calibscale"]], "accel_y_conv (adi.adis16490 property)": [[72, "adi.adis16490.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.accel_z_calibscale"]], "accel_z_conv (adi.adis16490 property)": [[72, "adi.adis16490.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.accel_z_filter_low_pass_3db_frequency"]], "adis16490 (class in adi)": [[72, "adi.adis16490"]], "anglvel_x_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16490 property)": [[72, "adi.adis16490.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16490 attribute)": [[72, "adi.adis16490.compatible_parts"]], "ctx (adi.adis16490 property)": [[72, "adi.adis16490.ctx"]], "current_timestamp_clock (adi.adis16490 property)": [[72, "adi.adis16490.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16490 property)": [[72, "adi.adis16490.deltavelocity_z_conv"]], "disable_trigger (adi.adis16490 attribute)": [[72, "adi.adis16490.disable_trigger"]], "firmware_date (adi.adis16490 property)": [[72, "adi.adis16490.firmware_date"]], "firmware_revision (adi.adis16490 property)": [[72, "adi.adis16490.firmware_revision"]], "flash_count (adi.adis16490 property)": [[72, "adi.adis16490.flash_count"]], "get_accel_x() (adi.adis16490 method)": [[72, "adi.adis16490.get_accel_x"]], "get_accel_y() (adi.adis16490 method)": [[72, "adi.adis16490.get_accel_y"]], "get_accel_z() (adi.adis16490 method)": [[72, "adi.adis16490.get_accel_z"]], "get_anglvel_x() (adi.adis16490 method)": [[72, "adi.adis16490.get_anglvel_x"]], "get_anglvel_y() (adi.adis16490 method)": [[72, "adi.adis16490.get_anglvel_y"]], "get_anglvel_z() (adi.adis16490 method)": [[72, "adi.adis16490.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16490 method)": [[72, "adi.adis16490.get_deltavelocity_z"]], "get_temp() (adi.adis16490 method)": [[72, "adi.adis16490.get_temp"]], "product_id (adi.adis16490 property)": [[72, "adi.adis16490.product_id"]], "rx() (adi.adis16490 method)": [[72, "adi.adis16490.rx"]], "rx_annotated (adi.adis16490 property)": [[72, "adi.adis16490.rx_annotated"]], "rx_buffer_size (adi.adis16490 property)": [[72, "adi.adis16490.rx_buffer_size"]], "rx_channel_names (adi.adis16490 property)": [[72, "adi.adis16490.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16490 method)": [[72, "adi.adis16490.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16490 property)": [[72, "adi.adis16490.rx_enabled_channels"]], "rx_output_type (adi.adis16490 property)": [[72, "adi.adis16490.rx_output_type"]], "sample_rate (adi.adis16490 property)": [[72, "adi.adis16490.sample_rate"]], "serial_number (adi.adis16490 property)": [[72, "adi.adis16490.serial_number"]], "temp_conv (adi.adis16490 property)": [[72, "adi.adis16490.temp_conv"]], "accel_x_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.accel_x_calibscale"]], "accel_x_conv (adi.adis16495 property)": [[73, "adi.adis16495.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.accel_y_calibscale"]], "accel_y_conv (adi.adis16495 property)": [[73, "adi.adis16495.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.accel_z_calibscale"]], "accel_z_conv (adi.adis16495 property)": [[73, "adi.adis16495.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.accel_z_filter_low_pass_3db_frequency"]], "adis16495 (class in adi)": [[73, "adi.adis16495"]], "anglvel_x_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16495 property)": [[73, "adi.adis16495.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16495 attribute)": [[73, "adi.adis16495.compatible_parts"]], "ctx (adi.adis16495 property)": [[73, "adi.adis16495.ctx"]], "current_timestamp_clock (adi.adis16495 property)": [[73, "adi.adis16495.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16495 property)": [[73, "adi.adis16495.deltavelocity_z_conv"]], "disable_trigger (adi.adis16495 attribute)": [[73, "adi.adis16495.disable_trigger"]], "firmware_date (adi.adis16495 property)": [[73, "adi.adis16495.firmware_date"]], "firmware_revision (adi.adis16495 property)": [[73, "adi.adis16495.firmware_revision"]], "flash_count (adi.adis16495 property)": [[73, "adi.adis16495.flash_count"]], "get_accel_x() (adi.adis16495 method)": [[73, "adi.adis16495.get_accel_x"]], "get_accel_y() (adi.adis16495 method)": [[73, "adi.adis16495.get_accel_y"]], "get_accel_z() (adi.adis16495 method)": [[73, "adi.adis16495.get_accel_z"]], "get_anglvel_x() (adi.adis16495 method)": [[73, "adi.adis16495.get_anglvel_x"]], "get_anglvel_y() (adi.adis16495 method)": [[73, "adi.adis16495.get_anglvel_y"]], "get_anglvel_z() (adi.adis16495 method)": [[73, "adi.adis16495.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16495 method)": [[73, "adi.adis16495.get_deltavelocity_z"]], "get_temp() (adi.adis16495 method)": [[73, "adi.adis16495.get_temp"]], "product_id (adi.adis16495 property)": [[73, "adi.adis16495.product_id"]], "rx() (adi.adis16495 method)": [[73, "adi.adis16495.rx"]], "rx_annotated (adi.adis16495 property)": [[73, "adi.adis16495.rx_annotated"]], "rx_buffer_size (adi.adis16495 property)": [[73, "adi.adis16495.rx_buffer_size"]], "rx_channel_names (adi.adis16495 property)": [[73, "adi.adis16495.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16495 method)": [[73, "adi.adis16495.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16495 property)": [[73, "adi.adis16495.rx_enabled_channels"]], "rx_output_type (adi.adis16495 property)": [[73, "adi.adis16495.rx_output_type"]], "sample_rate (adi.adis16495 property)": [[73, "adi.adis16495.sample_rate"]], "serial_number (adi.adis16495 property)": [[73, "adi.adis16495.serial_number"]], "temp_conv (adi.adis16495 property)": [[73, "adi.adis16495.temp_conv"]], "accel_x_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.accel_x_calibscale"]], "accel_x_conv (adi.adis16497 property)": [[74, "adi.adis16497.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.accel_y_calibscale"]], "accel_y_conv (adi.adis16497 property)": [[74, "adi.adis16497.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.accel_z_calibscale"]], "accel_z_conv (adi.adis16497 property)": [[74, "adi.adis16497.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.accel_z_filter_low_pass_3db_frequency"]], "adis16497 (class in adi)": [[74, "adi.adis16497"]], "anglvel_x_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16497 property)": [[74, "adi.adis16497.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16497 attribute)": [[74, "adi.adis16497.compatible_parts"]], "ctx (adi.adis16497 property)": [[74, "adi.adis16497.ctx"]], "current_timestamp_clock (adi.adis16497 property)": [[74, "adi.adis16497.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16497 property)": [[74, "adi.adis16497.deltavelocity_z_conv"]], "disable_trigger (adi.adis16497 attribute)": [[74, "adi.adis16497.disable_trigger"]], "firmware_date (adi.adis16497 property)": [[74, "adi.adis16497.firmware_date"]], "firmware_revision (adi.adis16497 property)": [[74, "adi.adis16497.firmware_revision"]], "flash_count (adi.adis16497 property)": [[74, "adi.adis16497.flash_count"]], "get_accel_x() (adi.adis16497 method)": [[74, "adi.adis16497.get_accel_x"]], "get_accel_y() (adi.adis16497 method)": [[74, "adi.adis16497.get_accel_y"]], "get_accel_z() (adi.adis16497 method)": [[74, "adi.adis16497.get_accel_z"]], "get_anglvel_x() (adi.adis16497 method)": [[74, "adi.adis16497.get_anglvel_x"]], "get_anglvel_y() (adi.adis16497 method)": [[74, "adi.adis16497.get_anglvel_y"]], "get_anglvel_z() (adi.adis16497 method)": [[74, "adi.adis16497.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16497 method)": [[74, "adi.adis16497.get_deltavelocity_z"]], "get_temp() (adi.adis16497 method)": [[74, "adi.adis16497.get_temp"]], "product_id (adi.adis16497 property)": [[74, "adi.adis16497.product_id"]], "rx() (adi.adis16497 method)": [[74, "adi.adis16497.rx"]], "rx_annotated (adi.adis16497 property)": [[74, "adi.adis16497.rx_annotated"]], "rx_buffer_size (adi.adis16497 property)": [[74, "adi.adis16497.rx_buffer_size"]], "rx_channel_names (adi.adis16497 property)": [[74, "adi.adis16497.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16497 method)": [[74, "adi.adis16497.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16497 property)": [[74, "adi.adis16497.rx_enabled_channels"]], "rx_output_type (adi.adis16497 property)": [[74, "adi.adis16497.rx_output_type"]], "sample_rate (adi.adis16497 property)": [[74, "adi.adis16497.sample_rate"]], "serial_number (adi.adis16497 property)": [[74, "adi.adis16497.serial_number"]], "temp_conv (adi.adis16497 property)": [[74, "adi.adis16497.temp_conv"]], "adi.adis16507": [[75, "module-adi.adis16507"]], "adis16507 (class in adi.adis16507)": [[75, "adi.adis16507.adis16507"]], "current_timestamp_clock (adi.adis16507.adis16507 property)": [[75, "adi.adis16507.adis16507.current_timestamp_clock"]], "filter_low_pass_3db_frequency (adi.adis16507.adis16507 property)": [[75, "adi.adis16507.adis16507.filter_low_pass_3db_frequency"]], "sample_rate (adi.adis16507.adis16507 property)": [[75, "adi.adis16507.adis16507.sample_rate"]], "accel_x_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.accel_x_calibscale"]], "accel_x_conv (adi.adis16545 property)": [[76, "adi.adis16545.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.accel_y_calibscale"]], "accel_y_conv (adi.adis16545 property)": [[76, "adi.adis16545.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.accel_z_calibscale"]], "accel_z_conv (adi.adis16545 property)": [[76, "adi.adis16545.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.accel_z_filter_low_pass_3db_frequency"]], "adis16545 (class in adi)": [[76, "adi.adis16545"]], "anglvel_x_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16545 property)": [[76, "adi.adis16545.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16545 attribute)": [[76, "adi.adis16545.compatible_parts"]], "ctx (adi.adis16545 property)": [[76, "adi.adis16545.ctx"]], "current_timestamp_clock (adi.adis16545 property)": [[76, "adi.adis16545.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16545 property)": [[76, "adi.adis16545.deltavelocity_z_conv"]], "disable_trigger (adi.adis16545 attribute)": [[76, "adi.adis16545.disable_trigger"]], "firmware_date (adi.adis16545 property)": [[76, "adi.adis16545.firmware_date"]], "firmware_revision (adi.adis16545 property)": [[76, "adi.adis16545.firmware_revision"]], "flash_count (adi.adis16545 property)": [[76, "adi.adis16545.flash_count"]], "get_accel_x() (adi.adis16545 method)": [[76, "adi.adis16545.get_accel_x"]], "get_accel_y() (adi.adis16545 method)": [[76, "adi.adis16545.get_accel_y"]], "get_accel_z() (adi.adis16545 method)": [[76, "adi.adis16545.get_accel_z"]], "get_anglvel_x() (adi.adis16545 method)": [[76, "adi.adis16545.get_anglvel_x"]], "get_anglvel_y() (adi.adis16545 method)": [[76, "adi.adis16545.get_anglvel_y"]], "get_anglvel_z() (adi.adis16545 method)": [[76, "adi.adis16545.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16545 method)": [[76, "adi.adis16545.get_deltavelocity_z"]], "get_temp() (adi.adis16545 method)": [[76, "adi.adis16545.get_temp"]], "product_id (adi.adis16545 property)": [[76, "adi.adis16545.product_id"]], "rx() (adi.adis16545 method)": [[76, "adi.adis16545.rx"]], "rx_annotated (adi.adis16545 property)": [[76, "adi.adis16545.rx_annotated"]], "rx_buffer_size (adi.adis16545 property)": [[76, "adi.adis16545.rx_buffer_size"]], "rx_channel_names (adi.adis16545 property)": [[76, "adi.adis16545.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16545 method)": [[76, "adi.adis16545.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16545 property)": [[76, "adi.adis16545.rx_enabled_channels"]], "rx_output_type (adi.adis16545 property)": [[76, "adi.adis16545.rx_output_type"]], "sample_rate (adi.adis16545 property)": [[76, "adi.adis16545.sample_rate"]], "serial_number (adi.adis16545 property)": [[76, "adi.adis16545.serial_number"]], "temp_conv (adi.adis16545 property)": [[76, "adi.adis16545.temp_conv"]], "accel_x_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.accel_x_calibbias"]], "accel_x_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.accel_x_calibscale"]], "accel_x_conv (adi.adis16547 property)": [[77, "adi.adis16547.accel_x_conv"]], "accel_x_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.accel_x_filter_low_pass_3db_frequency"]], "accel_y_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.accel_y_calibbias"]], "accel_y_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.accel_y_calibscale"]], "accel_y_conv (adi.adis16547 property)": [[77, "adi.adis16547.accel_y_conv"]], "accel_y_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.accel_y_filter_low_pass_3db_frequency"]], "accel_z_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.accel_z_calibbias"]], "accel_z_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.accel_z_calibscale"]], "accel_z_conv (adi.adis16547 property)": [[77, "adi.adis16547.accel_z_conv"]], "accel_z_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.accel_z_filter_low_pass_3db_frequency"]], "adis16547 (class in adi)": [[77, "adi.adis16547"]], "anglvel_x_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_x_calibbias"]], "anglvel_x_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_x_calibscale"]], "anglvel_x_conv (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_x_conv"]], "anglvel_x_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_x_filter_low_pass_3db_frequency"]], "anglvel_y_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_y_calibbias"]], "anglvel_y_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_y_calibscale"]], "anglvel_y_conv (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_y_conv"]], "anglvel_y_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_y_filter_low_pass_3db_frequency"]], "anglvel_z_calibbias (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_z_calibbias"]], "anglvel_z_calibscale (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_z_calibscale"]], "anglvel_z_conv (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_z_conv"]], "anglvel_z_filter_low_pass_3db_frequency (adi.adis16547 property)": [[77, "adi.adis16547.anglvel_z_filter_low_pass_3db_frequency"]], "compatible_parts (adi.adis16547 attribute)": [[77, "adi.adis16547.compatible_parts"]], "ctx (adi.adis16547 property)": [[77, "adi.adis16547.ctx"]], "current_timestamp_clock (adi.adis16547 property)": [[77, "adi.adis16547.current_timestamp_clock"]], "deltaangl_x_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltaangl_x_conv"]], "deltaangl_y_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltaangl_y_conv"]], "deltaangl_z_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltaangl_z_conv"]], "deltavelocity_x_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltavelocity_x_conv"]], "deltavelocity_y_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltavelocity_y_conv"]], "deltavelocity_z_conv (adi.adis16547 property)": [[77, "adi.adis16547.deltavelocity_z_conv"]], "disable_trigger (adi.adis16547 attribute)": [[77, "adi.adis16547.disable_trigger"]], "firmware_date (adi.adis16547 property)": [[77, "adi.adis16547.firmware_date"]], "firmware_revision (adi.adis16547 property)": [[77, "adi.adis16547.firmware_revision"]], "flash_count (adi.adis16547 property)": [[77, "adi.adis16547.flash_count"]], "get_accel_x() (adi.adis16547 method)": [[77, "adi.adis16547.get_accel_x"]], "get_accel_y() (adi.adis16547 method)": [[77, "adi.adis16547.get_accel_y"]], "get_accel_z() (adi.adis16547 method)": [[77, "adi.adis16547.get_accel_z"]], "get_anglvel_x() (adi.adis16547 method)": [[77, "adi.adis16547.get_anglvel_x"]], "get_anglvel_y() (adi.adis16547 method)": [[77, "adi.adis16547.get_anglvel_y"]], "get_anglvel_z() (adi.adis16547 method)": [[77, "adi.adis16547.get_anglvel_z"]], "get_deltaangl_x() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltaangl_x"]], "get_deltaangl_y() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltaangl_y"]], "get_deltaangl_z() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltaangl_z"]], "get_deltavelocity_x() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltavelocity_x"]], "get_deltavelocity_y() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltavelocity_y"]], "get_deltavelocity_z() (adi.adis16547 method)": [[77, "adi.adis16547.get_deltavelocity_z"]], "get_temp() (adi.adis16547 method)": [[77, "adi.adis16547.get_temp"]], "product_id (adi.adis16547 property)": [[77, "adi.adis16547.product_id"]], "rx() (adi.adis16547 method)": [[77, "adi.adis16547.rx"]], "rx_annotated (adi.adis16547 property)": [[77, "adi.adis16547.rx_annotated"]], "rx_buffer_size (adi.adis16547 property)": [[77, "adi.adis16547.rx_buffer_size"]], "rx_channel_names (adi.adis16547 property)": [[77, "adi.adis16547.rx_channel_names"]], "rx_destroy_buffer() (adi.adis16547 method)": [[77, "adi.adis16547.rx_destroy_buffer"]], "rx_enabled_channels (adi.adis16547 property)": [[77, "adi.adis16547.rx_enabled_channels"]], "rx_output_type (adi.adis16547 property)": [[77, "adi.adis16547.rx_output_type"]], "sample_rate (adi.adis16547 property)": [[77, "adi.adis16547.sample_rate"]], "serial_number (adi.adis16547 property)": [[77, "adi.adis16547.serial_number"]], "temp_conv (adi.adis16547 property)": [[77, "adi.adis16547.temp_conv"]], "adi.adl5240": [[78, "module-adi.adl5240"]], "adl5240 (class in adi.adl5240)": [[78, "adi.adl5240.adl5240"]], "hardwaregain (adi.adl5240.adl5240 property)": [[78, "adi.adl5240.adl5240.hardwaregain"]], "adi.adl5960": [[79, "module-adi.adl5960"]], "adl5960 (class in adi.adl5960)": [[79, "adi.adl5960.adl5960"]], "forward_gain (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.forward_gain"]], "if_filter_cutoff (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.if_filter_cutoff"]], "if_frequency (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.if_frequency"]], "lo_frequency (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.lo_frequency"]], "lo_mode (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.lo_mode"]], "lo_mode_available (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.lo_mode_available"]], "offset_frequency (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.offset_frequency"]], "offset_mode (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.offset_mode"]], "offset_mode_available (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.offset_mode_available"]], "reflected_gain (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.reflected_gain"]], "reg_read() (adi.adl5960.adl5960 method)": [[79, "adi.adl5960.adl5960.reg_read"]], "reg_write() (adi.adl5960.adl5960 method)": [[79, "adi.adl5960.adl5960.reg_write"]], "temperature (adi.adl5960.adl5960 property)": [[79, "adi.adl5960.adl5960.temperature"]], "adi.admv8818": [[80, "module-adi.admv8818"]], "admv8818 (class in adi.admv8818)": [[80, "adi.admv8818.admv8818"]], "band_pass_bandwidth_3db_frequency (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.band_pass_bandwidth_3db_frequency"]], "band_pass_center_frequency (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.band_pass_center_frequency"]], "high_pass_3db_frequency (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.high_pass_3db_frequency"]], "low_pass_3db_frequency (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.low_pass_3db_frequency"]], "mode (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.mode"]], "mode_available (adi.admv8818.admv8818 property)": [[80, "adi.admv8818.admv8818.mode_available"]], "reg_read() (adi.admv8818.admv8818 method)": [[80, "adi.admv8818.admv8818.reg_read"]], "reg_write() (adi.admv8818.admv8818 method)": [[80, "adi.admv8818.admv8818.reg_write"]], "adi.adpd1080": [[81, "module-adi.adpd1080"]], "adpd1080 (class in adi.adpd1080)": [[81, "adi.adpd1080.adpd1080"]], "channel (adi.adpd1080.adpd1080 attribute)": [[81, "adi.adpd1080.adpd1080.channel"]], "rx() (adi.adpd1080.adpd1080 method)": [[81, "adi.adpd1080.adpd1080.rx"]], "sample_rate (adi.adpd1080.adpd1080 property)": [[81, "adi.adpd1080.adpd1080.sample_rate"]], "adi.adpd188": [[82, "module-adi.adpd188"]], "adpd188 (class in adi.adpd188)": [[82, "adi.adpd188.adpd188"]], "channel (adi.adpd188.adpd188 attribute)": [[82, "adi.adpd188.adpd188.channel"]], "mode (adi.adpd188.adpd188 property)": [[82, "adi.adpd188.adpd188.mode"]], "sample_rate (adi.adpd188.adpd188 property)": [[82, "adi.adpd188.adpd188.sample_rate"]], "adi.adpd410x": [[83, "module-adi.adpd410x"]], "adpd410x (class in adi.adpd410x)": [[83, "adi.adpd410x.adpd410x"]], "channel (adi.adpd410x.adpd410x attribute)": [[83, "adi.adpd410x.adpd410x.channel"]], "last_timeslot (adi.adpd410x.adpd410x property)": [[83, "adi.adpd410x.adpd410x.last_timeslot"]], "operation_mode (adi.adpd410x.adpd410x property)": [[83, "adi.adpd410x.adpd410x.operation_mode"]], "sampling_frequency (adi.adpd410x.adpd410x property)": [[83, "adi.adpd410x.adpd410x.sampling_frequency"]], "adi.adrf5720": [[84, "module-adi.adrf5720"]], "adrf5720 (class in adi.adrf5720)": [[84, "adi.adrf5720.adrf5720"]], "attenuation (adi.adrf5720.adrf5720 property)": [[84, "adi.adrf5720.adrf5720.attenuation"]], "channel (adi.adrf5720.adrf5720 attribute)": [[84, "adi.adrf5720.adrf5720.channel"]], "adi.adrv9002": [[85, "module-adi.adrv9002"]], "adrv9002 (class in adi.adrv9002)": [[85, "adi.adrv9002.adrv9002"]], "agc_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.agc_tracking_en_chan0"]], "agc_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.agc_tracking_en_chan1"]], "api_version (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.api_version"]], "atten_control_mode_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.atten_control_mode_chan0"]], "atten_control_mode_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.atten_control_mode_chan1"]], "bbdc_rejection_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.bbdc_rejection_tracking_en_chan0"]], "bbdc_rejection_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.bbdc_rejection_tracking_en_chan1"]], "close_loop_gain_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.close_loop_gain_tracking_en_chan0"]], "close_loop_gain_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.close_loop_gain_tracking_en_chan1"]], "digital_gain_control_mode_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.digital_gain_control_mode_chan0"]], "digital_gain_control_mode_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.digital_gain_control_mode_chan1"]], "gain_control_mode_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.gain_control_mode_chan0"]], "gain_control_mode_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.gain_control_mode_chan1"]], "hd_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.hd_tracking_en_chan0"]], "hd_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.hd_tracking_en_chan1"]], "interface_gain_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.interface_gain_chan0"]], "interface_gain_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.interface_gain_chan1"]], "lo_leakage_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.lo_leakage_tracking_en_chan0"]], "lo_leakage_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.lo_leakage_tracking_en_chan1"]], "loopback_delay_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.loopback_delay_tracking_en_chan0"]], "loopback_delay_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.loopback_delay_tracking_en_chan1"]], "pa_correction_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.pa_correction_tracking_en_chan0"]], "pa_correction_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.pa_correction_tracking_en_chan1"]], "profile (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.profile"]], "quadrature_fic_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_fic_tracking_en_chan0"]], "quadrature_fic_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_fic_tracking_en_chan1"]], "quadrature_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_tracking_en_chan0"]], "quadrature_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_tracking_en_chan1"]], "quadrature_w_poly_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_w_poly_tracking_en_chan0"]], "quadrature_w_poly_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.quadrature_w_poly_tracking_en_chan1"]], "rfdc_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rfdc_tracking_en_chan0"]], "rfdc_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rfdc_tracking_en_chan1"]], "rssi_tracking_en_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rssi_tracking_en_chan0"]], "rssi_tracking_en_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rssi_tracking_en_chan1"]], "rx0_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_en"]], "rx0_lo (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_lo"]], "rx0_nco_frequency (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_nco_frequency"]], "rx0_port_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_port_en"]], "rx0_rf_bandwidth (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_rf_bandwidth"]], "rx0_sample_rate (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx0_sample_rate"]], "rx1() (in module adi.adrv9002)": [[85, "adi.adrv9002.rx1"]], "rx1_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_en"]], "rx1_lo (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_lo"]], "rx1_nco_frequency (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_nco_frequency"]], "rx1_port_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_port_en"]], "rx1_rf_bandwidth (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_rf_bandwidth"]], "rx1_sample_rate (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx1_sample_rate"]], "rx2() (in module adi.adrv9002)": [[85, "adi.adrv9002.rx2"]], "rx_dma_mode (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx_dma_mode"]], "rx_ensm_mode_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx_ensm_mode_chan0"]], "rx_ensm_mode_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx_ensm_mode_chan1"]], "rx_hardwaregain_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx_hardwaregain_chan0"]], "rx_hardwaregain_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.rx_hardwaregain_chan1"]], "stream (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.stream"]], "tx0_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_en"]], "tx0_lo (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_lo"]], "tx0_nco_frequency (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_nco_frequency"]], "tx0_port_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_port_en"]], "tx0_rf_bandwidth (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_rf_bandwidth"]], "tx0_sample_rate (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx0_sample_rate"]], "tx1() (in module adi.adrv9002)": [[85, "adi.adrv9002.tx1"]], "tx1_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_en"]], "tx1_lo (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_lo"]], "tx1_nco_frequency (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_nco_frequency"]], "tx1_port_en (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_port_en"]], "tx1_rf_bandwidth (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_rf_bandwidth"]], "tx1_sample_rate (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx1_sample_rate"]], "tx2() (in module adi.adrv9002)": [[85, "adi.adrv9002.tx2"]], "tx_dma_mode (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx_dma_mode"]], "tx_ensm_mode_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx_ensm_mode_chan0"]], "tx_ensm_mode_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx_ensm_mode_chan1"]], "tx_hardwaregain_chan0 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx_hardwaregain_chan0"]], "tx_hardwaregain_chan1 (adi.adrv9002.adrv9002 property)": [[85, "adi.adrv9002.adrv9002.tx_hardwaregain_chan1"]], "write_profile() (adi.adrv9002.adrv9002 method)": [[85, "adi.adrv9002.adrv9002.write_profile"]], "write_stream() (adi.adrv9002.adrv9002 method)": [[85, "adi.adrv9002.adrv9002.write_stream"]], "write_stream_profile() (adi.adrv9002.adrv9002 method)": [[85, "adi.adrv9002.adrv9002.write_stream_profile"]], "adi.adrv9009": [[86, "module-adi.adrv9009"]], "adrv9009 (class in adi.adrv9009)": [[86, "adi.adrv9009.adrv9009"]], "aux_obs_lo (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.aux_obs_lo"]], "calibrate (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.calibrate"]], "calibrate_rx_phase_correction_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.calibrate_rx_phase_correction_en"]], "calibrate_rx_qec_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.calibrate_rx_qec_en"]], "calibrate_tx_qec_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.calibrate_tx_qec_en"]], "ensm_mode (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.ensm_mode"]], "frequency_hopping_mode (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.frequency_hopping_mode"]], "frequency_hopping_mode_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.frequency_hopping_mode_en"]], "gain_control_mode_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.gain_control_mode_chan0"]], "gain_control_mode_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.gain_control_mode_chan1"]], "jesd204_fsm_ctrl (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.jesd204_fsm_ctrl"]], "jesd204_fsm_error (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.jesd204_fsm_error"]], "jesd204_fsm_paused (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.jesd204_fsm_paused"]], "jesd204_fsm_resume (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.jesd204_fsm_resume"]], "jesd204_fsm_state (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.jesd204_fsm_state"]], "obs_hardwaregain (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.obs_hardwaregain"]], "obs_powerdown_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.obs_powerdown_en"]], "obs_quadrature_tracking_en (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.obs_quadrature_tracking_en"]], "obs_rf_port_select (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.obs_rf_port_select"]], "orx_sample_rate (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.orx_sample_rate"]], "profile (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.profile"]], "rx_hardwaregain_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_hardwaregain_chan0"]], "rx_hardwaregain_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_hardwaregain_chan1"]], "rx_powerdown_en_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_powerdown_en_chan0"]], "rx_powerdown_en_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_powerdown_en_chan1"]], "rx_quadrature_tracking_en_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_quadrature_tracking_en_chan0"]], "rx_quadrature_tracking_en_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_quadrature_tracking_en_chan1"]], "rx_rf_bandwidth (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_rf_bandwidth"]], "rx_sample_rate (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.rx_sample_rate"]], "trx_lo (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.trx_lo"]], "tx_hardwaregain_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_hardwaregain_chan0"]], "tx_hardwaregain_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_hardwaregain_chan1"]], "tx_quadrature_tracking_en_chan0 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_quadrature_tracking_en_chan0"]], "tx_quadrature_tracking_en_chan1 (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_quadrature_tracking_en_chan1"]], "tx_rf_bandwidth (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_rf_bandwidth"]], "tx_sample_rate (adi.adrv9009.adrv9009 property)": [[86, "adi.adrv9009.adrv9009.tx_sample_rate"]], "adi.adrv9009_zu11eg": [[87, "module-adi.adrv9009_zu11eg"]], "adrv9009_zu11eg (class in adi.adrv9009_zu11eg)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg"]], "calibrate_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.calibrate_chip_b"]], "calibrate_rx_phase_correction_en_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.calibrate_rx_phase_correction_en_chip_b"]], "calibrate_rx_qec_en_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.calibrate_rx_qec_en_chip_b"]], "calibrate_tx_qec_en_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.calibrate_tx_qec_en_chip_b"]], "frequency_hopping_mode_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.frequency_hopping_mode_chip_b"]], "frequency_hopping_mode_en_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.frequency_hopping_mode_en_chip_b"]], "gain_control_mode_chan0_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.gain_control_mode_chan0_chip_b"]], "gain_control_mode_chan1_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.gain_control_mode_chan1_chip_b"]], "mcs_chips() (adi.adrv9009_zu11eg.adrv9009_zu11eg method)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.mcs_chips"]], "rx_hardwaregain_chan0_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.rx_hardwaregain_chan0_chip_b"]], "rx_hardwaregain_chan1_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.rx_hardwaregain_chan1_chip_b"]], "rx_rf_bandwidth_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.rx_rf_bandwidth_chip_b"]], "rx_sample_rate_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.rx_sample_rate_chip_b"]], "trx_lo_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.trx_lo_chip_b"]], "tx_hardwaregain_chan0_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.tx_hardwaregain_chan0_chip_b"]], "tx_hardwaregain_chan1_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.tx_hardwaregain_chan1_chip_b"]], "tx_rf_bandwidth_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.tx_rf_bandwidth_chip_b"]], "tx_sample_rate_chip_b (adi.adrv9009_zu11eg.adrv9009_zu11eg property)": [[87, "adi.adrv9009_zu11eg.adrv9009_zu11eg.tx_sample_rate_chip_b"]], "adi.adrv9009_zu11eg_fmcomms8": [[88, "module-adi.adrv9009_zu11eg_fmcomms8"]], "adrv9009_zu11eg_fmcomms8 (class in adi.adrv9009_zu11eg_fmcomms8)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8"]], "calibrate_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_chip_c"]], "calibrate_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_chip_d"]], "calibrate_rx_phase_correction_en_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_rx_phase_correction_en_chip_c"]], "calibrate_rx_phase_correction_en_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_rx_phase_correction_en_chip_d"]], "calibrate_rx_qec_en_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_rx_qec_en_chip_c"]], "calibrate_rx_qec_en_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_rx_qec_en_chip_d"]], "calibrate_tx_qec_en_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_tx_qec_en_chip_c"]], "calibrate_tx_qec_en_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.calibrate_tx_qec_en_chip_d"]], "gain_control_mode_chan0_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.gain_control_mode_chan0_chip_c"]], "gain_control_mode_chan0_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.gain_control_mode_chan0_chip_d"]], "gain_control_mode_chan1_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.gain_control_mode_chan1_chip_c"]], "gain_control_mode_chan1_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.gain_control_mode_chan1_chip_d"]], "mcs_chips() (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 method)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.mcs_chips"]], "rx_hardwaregain_chan0_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_hardwaregain_chan0_chip_c"]], "rx_hardwaregain_chan0_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_hardwaregain_chan0_chip_d"]], "rx_hardwaregain_chan1_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_hardwaregain_chan1_chip_c"]], "rx_hardwaregain_chan1_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_hardwaregain_chan1_chip_d"]], "rx_rf_bandwidth_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_rf_bandwidth_chip_c"]], "rx_rf_bandwidth_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_rf_bandwidth_chip_d"]], "rx_sample_rate_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_sample_rate_chip_c"]], "rx_sample_rate_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.rx_sample_rate_chip_d"]], "trx_lo_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.trx_lo_chip_c"]], "trx_lo_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.trx_lo_chip_d"]], "tx_hardwaregain_chan0_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_hardwaregain_chan0_chip_c"]], "tx_hardwaregain_chan0_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_hardwaregain_chan0_chip_d"]], "tx_hardwaregain_chan1_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_hardwaregain_chan1_chip_c"]], "tx_hardwaregain_chan1_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_hardwaregain_chan1_chip_d"]], "tx_rf_bandwidth_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_rf_bandwidth_chip_c"]], "tx_rf_bandwidth_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_rf_bandwidth_chip_d"]], "tx_sample_rate_chip_c (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_sample_rate_chip_c"]], "tx_sample_rate_chip_d (adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8 property)": [[88, "adi.adrv9009_zu11eg_fmcomms8.adrv9009_zu11eg_fmcomms8.tx_sample_rate_chip_d"]], "adi.adrv9009_zu11eg_multi": [[89, "module-adi.adrv9009_zu11eg_multi"]], "adrv9009_zu11eg_multi (class in adi.adrv9009_zu11eg_multi)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi"]], "hmc7044_cap_sel() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.hmc7044_cap_sel"]], "hmc7044_car_output_delay() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.hmc7044_car_output_delay"]], "hmc7044_ext_output_delay() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.hmc7044_ext_output_delay"]], "hmc7044_set_cap_sel() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.hmc7044_set_cap_sel"]], "reinitialize() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.reinitialize"]], "rx() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.rx"]], "rx_buffer_size (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi property)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.rx_buffer_size"]], "secondaries (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi attribute)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.secondaries"]], "set_trx_framer_a_loopback() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.set_trx_framer_a_loopback"]], "set_trx_lo_frequency() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.set_trx_lo_frequency"]], "sysref_request() (adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi method)": [[89, "adi.adrv9009_zu11eg_multi.adrv9009_zu11eg_multi.sysref_request"]], "adi.adt7420": [[90, "module-adi.adt7420"]], "adt7420 (class in adi.adt7420)": [[90, "adi.adt7420.adt7420"]], "adi.adxl313": [[91, "module-adi.adxl313"]], "adxl313 (class in adi.adxl313)": [[91, "adi.adxl313.adxl313"]], "adi.adxl345": [[92, "module-adi.adxl345"]], "adxl345 (class in adi.adxl345)": [[92, "adi.adxl345.adxl345"]], "sampling_frequency (adi.adxl345.adxl345 property)": [[92, "adi.adxl345.adxl345.sampling_frequency"]], "sampling_frequency_available (adi.adxl345.adxl345 property)": [[92, "adi.adxl345.adxl345.sampling_frequency_available"]], "adi.adxl355": [[93, "module-adi.adxl355"]], "adxl355 (class in adi.adxl355)": [[93, "adi.adxl355.adxl355"]], "current_timestamp_clock (adi.adxl355.adxl355 property)": [[93, "adi.adxl355.adxl355.current_timestamp_clock"]], "to_degrees() (adi.adxl355.adxl355 method)": [[93, "adi.adxl355.adxl355.to_degrees"]], "adi.adxrs290": [[94, "module-adi.adxrs290"]], "adxrs290 (class in adi.adxrs290)": [[94, "adi.adxrs290.adxrs290"]], "hpf_3db_frequency (adi.adxrs290.adxrs290 property)": [[94, "adi.adxrs290.adxrs290.hpf_3db_frequency"]], "hpf_3db_frequency_available (adi.adxrs290.adxrs290 property)": [[94, "adi.adxrs290.adxrs290.hpf_3db_frequency_available"]], "lpf_3db_frequency (adi.adxrs290.adxrs290 property)": [[94, "adi.adxrs290.adxrs290.lpf_3db_frequency"]], "lpf_3db_frequency_available (adi.adxrs290.adxrs290 property)": [[94, "adi.adxrs290.adxrs290.lpf_3db_frequency_available"]], "adi.cn0511": [[95, "module-adi.cn0511"]], "amp_enable (adi.cn0511.cn0511 property)": [[95, "adi.cn0511.cn0511.amp_enable"]], "amplitude_cal (adi.cn0511.cn0511 property)": [[95, "adi.cn0511.cn0511.amplitude_cal"]], "board_calibrated (adi.cn0511.cn0511 property)": [[95, "adi.cn0511.cn0511.board_calibrated"]], "calibrated_output (adi.cn0511.cn0511 property)": [[95, "adi.cn0511.cn0511.calibrated_output"]], "cn0511 (class in adi.cn0511)": [[95, "adi.cn0511.cn0511"]], "trim_frequency_raw (adi.cn0511.cn0511 property)": [[95, "adi.cn0511.cn0511.trim_frequency_raw"]], "adi.cn0532": [[96, "module-adi.cn0532"]], "calibrate() (adi.cn0532.cn0532 method)": [[96, "adi.cn0532.cn0532.calibrate"]], "cn0532 (class in adi.cn0532)": [[96, "adi.cn0532.cn0532"]], "adi.cn0540": [[97, "module-adi.cn0540"]], "cn0540 (class in adi.cn0540)": [[97, "adi.cn0540.cn0540"]], "fda_disable_status (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.fda_disable_status"]], "fda_mode (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.fda_mode"]], "input_voltage (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.input_voltage"]], "monitor_powerup (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.monitor_powerup"]], "red_led_enable (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.red_led_enable"]], "reset_buffer() (in module adi.cn0540)": [[97, "adi.cn0540.reset_buffer"]], "sample_rate (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.sample_rate"]], "sensor_voltage (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.sensor_voltage"]], "shift_voltage (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.shift_voltage"]], "sw_cc (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.sw_cc"]], "sw_ff_status (adi.cn0540.cn0540 property)": [[97, "adi.cn0540.cn0540.sw_ff_status"]], "adc_in_channels (adi.cn0554.cn0554 attribute)": [[98, "adi.cn0554.cn0554.adc_in_channels"]], "adi.cn0554": [[98, "module-adi.cn0554"]], "cn0554 (class in adi.cn0554)": [[98, "adi.cn0554.cn0554"]], "convert_to_volts() (adi.cn0554.cn0554 method)": [[98, "adi.cn0554.cn0554.convert_to_volts"]], "dac_out_channels (adi.cn0554.cn0554 attribute)": [[98, "adi.cn0554.cn0554.dac_out_channels"]], "in_channels (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.in_channels"]], "in_scale (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.in_scale"]], "out_channels (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.out_channels"]], "out_reference (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.out_reference"]], "rx() (adi.cn0554.cn0554 method)": [[98, "adi.cn0554.cn0554.rx"]], "rx_buffer_size (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.rx_buffer_size"]], "rx_enabled_channels (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.rx_enabled_channels"]], "rx_output_type (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.rx_output_type"]], "sample_rate (adi.cn0554.cn0554 property)": [[98, "adi.cn0554.cn0554.sample_rate"]], "adi.cn0556": [[99, "module-adi.cn0556"]], "boost_input_current (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_input_current"]], "boost_input_current_limit (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_input_current_limit"]], "boost_input_undervoltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_input_undervoltage"]], "boost_input_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_input_voltage"]], "boost_output_current (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_output_current"]], "boost_output_current_limit (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_output_current_limit"]], "boost_output_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_output_voltage"]], "boost_target_output_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.boost_target_output_voltage"]], "buck_input_current (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_input_current"]], "buck_input_current_limit (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_input_current_limit"]], "buck_input_undervoltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_input_undervoltage"]], "buck_input_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_input_voltage"]], "buck_output_current (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_output_current"]], "buck_output_current_limit (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_output_current_limit"]], "buck_output_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_output_voltage"]], "buck_target_output_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.buck_target_output_voltage"]], "cn0556 (class in adi.cn0556)": [[99, "adi.cn0556.cn0556"]], "drxn (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.drxn"]], "enable (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.enable"]], "fault (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.fault"]], "intvcc_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.intvcc_voltage"]], "read_value() (adi.cn0556.cn0556 method)": [[99, "adi.cn0556.cn0556.read_value"]], "report (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.report"]], "set_value() (adi.cn0556.cn0556 method)": [[99, "adi.cn0556.cn0556.set_value"]], "share_voltage (adi.cn0556.cn0556 property)": [[99, "adi.cn0556.cn0556.share_voltage"]], "adi.cn0565": [[100, "module-adi.cn0565"]], "all_voltages (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.all_voltages"]], "cn0565 (class in adi.cn0565)": [[100, "adi.cn0565.cn0565"]], "electrode_count (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.electrode_count"]], "electrode_count_available (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.electrode_count_available"]], "force_distance (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.force_distance"]], "sense_distance (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.sense_distance"]], "switch_sequence (adi.cn0565.cn0565 property)": [[100, "adi.cn0565.cn0565.switch_sequence"]], "cn0566 (class in adi.cn0566)": [[101, "adi.cn0566.CN0566"]], "sdr_init() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.SDR_init"]], "adi.cn0566": [[101, "module-adi.cn0566"]], "c (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.c"]], "ccal (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.ccal"]], "configure() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.configure"]], "device_mode (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.device_mode"]], "element_spacing (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.element_spacing"]], "gcal (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.gcal"]], "lo (adi.cn0566.cn0566 property)": [[101, "adi.cn0566.CN0566.lo"]], "load_channel_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.load_channel_cal"]], "load_gain_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.load_gain_cal"]], "load_phase_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.load_phase_cal"]], "num_elements (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.num_elements"]], "pcal (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.pcal"]], "phase_step_size (adi.cn0566.cn0566 attribute)": [[101, "adi.cn0566.CN0566.phase_step_size"]], "read_monitor() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.read_monitor"]], "save_channel_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.save_channel_cal"]], "save_gain_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.save_gain_cal"]], "save_phase_cal() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.save_phase_cal"]], "set_all_gain() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_all_gain"]], "set_beam_phase_diff() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_beam_phase_diff"]], "set_chan_gain() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_chan_gain"]], "set_chan_phase() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_chan_phase"]], "set_rx_hardwaregain() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_rx_hardwaregain"]], "set_tx_sw_div() (adi.cn0566.cn0566 method)": [[101, "adi.cn0566.CN0566.set_tx_sw_div"]], "adi.cn0575": [[102, "module-adi.cn0575"]], "button (adi.cn0575.cn0575 property)": [[102, "adi.cn0575.cn0575.button"]], "cn0575 (class in adi.cn0575)": [[102, "adi.cn0575.cn0575"]], "led (adi.cn0575.cn0575 property)": [[102, "adi.cn0575.cn0575.led"]], "cc_ch0 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.CC_CH0"]], "cc_ch1 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.CC_CH1"]], "cc_ch2 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.CC_CH2"]], "cc_ch3 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.CC_CH3"]], "adi.cn0579": [[103, "module-adi.cn0579"]], "cn0579 (class in adi.cn0579)": [[103, "adi.cn0579.cn0579"]], "shift_voltage0 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.shift_voltage0"]], "shift_voltage1 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.shift_voltage1"]], "shift_voltage2 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.shift_voltage2"]], "shift_voltage3 (adi.cn0579.cn0579 property)": [[103, "adi.cn0579.cn0579.shift_voltage3"]], "daq2 (class in adi.daq2)": [[104, "adi.daq2.DAQ2"]], "adi.daq2": [[104, "module-adi.daq2"]], "daq3 (class in adi.daq3)": [[105, "adi.daq3.DAQ3"]], "adi.daq3": [[105, "module-adi.daq3"]], "adi.fmc_vna": [[106, "module-adi.fmc_vna"]], "fmcvna (class in adi.fmc_vna)": [[106, "adi.fmc_vna.fmcvna"]], "frontend (adi.fmc_vna.fmcvna attribute)": [[106, "adi.fmc_vna.fmcvna.frontend"]], "adi.fmcadc3": [[107, "module-adi.fmcadc3"]], "fmcadc3 (class in adi.fmcadc3)": [[107, "adi.fmcadc3.fmcadc3"]], "adi.fmcjesdadc1": [[108, "module-adi.fmcjesdadc1"]], "fmcjesdadc1 (class in adi.fmcjesdadc1)": [[108, "adi.fmcjesdadc1.fmcjesdadc1"]], "jesd204_statuses (adi.fmcjesdadc1.fmcjesdadc1 property)": [[108, "adi.fmcjesdadc1.fmcjesdadc1.jesd204_statuses"]], "test_mode_chan0 (adi.fmcjesdadc1.fmcjesdadc1 property)": [[108, "adi.fmcjesdadc1.fmcjesdadc1.test_mode_chan0"]], "test_mode_chan1 (adi.fmcjesdadc1.fmcjesdadc1 property)": [[108, "adi.fmcjesdadc1.fmcjesdadc1.test_mode_chan1"]], "adi.fmclidar1": [[109, "module-adi.fmclidar1"]], "channel_sequencer_enable_disable (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.channel_sequencer_enable_disable"]], "channel_sequencer_opmode (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.channel_sequencer_opmode"]], "channel_sequencer_order_auto_mode (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.channel_sequencer_order_auto_mode"]], "channel_sequencer_order_manual_mode (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.channel_sequencer_order_manual_mode"]], "fmclidar1 (class in adi.fmclidar1)": [[109, "adi.fmclidar1.fmclidar1"]], "laser_disable() (adi.fmclidar1.fmclidar1 method)": [[109, "adi.fmclidar1.fmclidar1.laser_disable"]], "laser_enable() (adi.fmclidar1.fmclidar1 method)": [[109, "adi.fmclidar1.fmclidar1.laser_enable"]], "laser_frequency (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.laser_frequency"]], "laser_pulse_width (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.laser_pulse_width"]], "rx() (adi.fmclidar1.fmclidar1 method)": [[109, "adi.fmclidar1.fmclidar1.rx"]], "sequencer_pulse_delay (adi.fmclidar1.fmclidar1 property)": [[109, "adi.fmclidar1.fmclidar1.sequencer_pulse_delay"]], "set_all_iio_attrs_to_default_values() (adi.fmclidar1.fmclidar1 method)": [[109, "adi.fmclidar1.fmclidar1.set_all_iio_attrs_to_default_values"]], "fmcomms11 (class in adi.fmcomms11)": [[110, "adi.fmcomms11.FMComms11"]], "adi.fmcomms11": [[110, "module-adi.fmcomms11"]], "fmcomms5 (class in adi.fmcomms5)": [[111, "adi.fmcomms5.FMComms5"]], "adi.fmcomms5": [[111, "module-adi.fmcomms5"]], "filter (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.filter"]], "gain_control_mode_chip_b_chan0 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.gain_control_mode_chip_b_chan0"]], "gain_control_mode_chip_b_chan1 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.gain_control_mode_chip_b_chan1"]], "loopback_chip_b (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.loopback_chip_b"]], "rx_hardwaregain_chip_b_chan0 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.rx_hardwaregain_chip_b_chan0"]], "rx_hardwaregain_chip_b_chan1 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.rx_hardwaregain_chip_b_chan1"]], "rx_lo_chip_b (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.rx_lo_chip_b"]], "rx_rf_bandwidth_chip_b (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.rx_rf_bandwidth_chip_b"]], "sample_rate (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.sample_rate"]], "tx_hardwaregain_chip_b_chan0 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.tx_hardwaregain_chip_b_chan0"]], "tx_hardwaregain_chip_b_chan1 (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.tx_hardwaregain_chip_b_chan1"]], "tx_lo_chip_b (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.tx_lo_chip_b"]], "tx_rf_bandwidth_chip_b (adi.fmcomms5.fmcomms5 property)": [[111, "adi.fmcomms5.FMComms5.tx_rf_bandwidth_chip_b"]], "adi.gen_mux": [[112, "module-adi.gen_mux"]], "genmux (class in adi.gen_mux)": [[112, "adi.gen_mux.genmux"]], "select (adi.gen_mux.genmux property)": [[112, "adi.gen_mux.genmux.select"]], "select_available (adi.gen_mux.genmux property)": [[112, "adi.gen_mux.genmux.select_available"]], "adi.jesd": [[113, "module-adi.jesd"]], "adi.lm75": [[114, "module-adi.lm75"]], "input (adi.lm75.lm75 property)": [[114, "adi.lm75.lm75.input"]], "lm75 (class in adi.lm75)": [[114, "adi.lm75.lm75"]], "max (adi.lm75.lm75 property)": [[114, "adi.lm75.lm75.max"]], "max_hyst (adi.lm75.lm75 property)": [[114, "adi.lm75.lm75.max_hyst"]], "to_degrees() (adi.lm75.lm75 method)": [[114, "adi.lm75.lm75.to_degrees"]], "to_millidegrees() (adi.lm75.lm75 method)": [[114, "adi.lm75.lm75.to_millidegrees"]], "update_interval (adi.lm75.lm75 property)": [[114, "adi.lm75.lm75.update_interval"]], "adi.ltc2314_14": [[115, "module-adi.ltc2314_14"]], "lsb_mv (adi.ltc2314_14.ltc2314_14 property)": [[115, "adi.ltc2314_14.ltc2314_14.lsb_mv"]], "ltc2314_14 (class in adi.ltc2314_14)": [[115, "adi.ltc2314_14.ltc2314_14"]], "voltage (adi.ltc2314_14.ltc2314_14 property)": [[115, "adi.ltc2314_14.ltc2314_14.voltage"]], "adi.ltc2387": [[116, "module-adi.ltc2387"]], "ltc2387 (class in adi.ltc2387)": [[116, "adi.ltc2387.ltc2387"]], "sampling_frequency (adi.ltc2387.ltc2387 property)": [[116, "adi.ltc2387.ltc2387.sampling_frequency"]], "adi.ltc2499": [[117, "module-adi.ltc2499"]], "channel (adi.ltc2499.ltc2499 attribute)": [[117, "adi.ltc2499.ltc2499.channel"]], "ltc2499 (class in adi.ltc2499)": [[117, "adi.ltc2499.ltc2499"]], "adi.ltc2664": [[118, "module-adi.ltc2664"]], "channel_names (adi.ltc2664.ltc2664 attribute)": [[118, "adi.ltc2664.ltc2664.channel_names"]], "ltc2664 (class in adi.ltc2664)": [[118, "adi.ltc2664.ltc2664"]], "adi.ltc2672": [[119, "module-adi.ltc2672"]], "all_chns_current (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_current"]], "all_chns_powerdown (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_powerdown"]], "all_chns_powerdown_avail (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_powerdown_avail"]], "all_chns_raw (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_raw"]], "all_chns_span (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_span"]], "all_chns_span_avail (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.all_chns_span_avail"]], "fault_detect (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.fault_detect"]], "fault_detect_avail (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.fault_detect_avail"]], "ltc2672 (class in adi.ltc2672)": [[119, "adi.ltc2672.ltc2672"]], "mux (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.mux"]], "mux_avail (adi.ltc2672.ltc2672 property)": [[119, "adi.ltc2672.ltc2672.mux_avail"]], "adi.ltc2688": [[120, "module-adi.ltc2688"]], "channel_names (adi.ltc2688.ltc2688 attribute)": [[120, "adi.ltc2688.ltc2688.channel_names"]], "ltc2688 (class in adi.ltc2688)": [[120, "adi.ltc2688.ltc2688"]], "vref (adi.ltc2688.ltc2688 attribute)": [[120, "adi.ltc2688.ltc2688.vref"]], "adi.ltc2983": [[121, "module-adi.ltc2983"]], "channel (adi.ltc2983.ltc2983 attribute)": [[121, "adi.ltc2983.ltc2983.channel"]], "convert() (adi.ltc2983.ltc2983 method)": [[121, "adi.ltc2983.ltc2983.convert"]], "ltc2983 (class in adi.ltc2983)": [[121, "adi.ltc2983.ltc2983"]], "adi.max11205": [[122, "module-adi.max11205"]], "channel (adi.max11205.max11205 attribute)": [[122, "adi.max11205.max11205.channel"]], "max11205 (class in adi.max11205)": [[122, "adi.max11205.max11205"]], "to_volts() (adi.max11205.max11205 method)": [[122, "adi.max11205.max11205.to_volts"]], "adi.max14001": [[123, "module-adi.max14001"]], "channel (adi.max14001.max14001 attribute)": [[123, "adi.max14001.max14001.channel"]], "max14001 (class in adi.max14001)": [[123, "adi.max14001.max14001"]], "to_volts() (adi.max14001.max14001 method)": [[123, "adi.max14001.max14001.to_volts"]], "adi.max31855": [[124, "module-adi.max31855"]], "max31855 (class in adi.max31855)": [[124, "adi.max31855.max31855"]], "adi.max31865": [[125, "module-adi.max31865"]], "fault (adi.max31865.max31865 property)": [[125, "adi.max31865.max31865.fault"]], "max31865 (class in adi.max31865)": [[125, "adi.max31865.max31865"]], "samp_available (adi.max31865.max31865 property)": [[125, "adi.max31865.max31865.samp_available"]], "adi.max9611": [[126, "module-adi.max9611"]], "channel (adi.max9611.max9611 attribute)": [[126, "adi.max9611.max9611.channel"]], "max9611 (class in adi.max9611)": [[126, "adi.max9611.max9611"]], "adi.one_bit_adc_dac": [[127, "module-adi.one_bit_adc_dac"]], "one_bit_adc_dac (class in adi.one_bit_adc_dac)": [[127, "adi.one_bit_adc_dac.one_bit_adc_dac"]], "adi.tdd": [[128, "module-adi.tdd"]], "burst_count (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.burst_count"]], "counter_int (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.counter_int"]], "dma_gateing_mode (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.dma_gateing_mode"]], "en (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.en"]], "en_mode (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.en_mode"]], "frame_length_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.frame_length_ms"]], "frame_length_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.frame_length_raw"]], "rx_dma_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_dma_ms"]], "rx_dma_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_dma_raw"]], "rx_rf_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_rf_ms"]], "rx_rf_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_rf_raw"]], "rx_vco_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_vco_ms"]], "rx_vco_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.rx_vco_raw"]], "secondary (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.secondary"]], "tdd (class in adi.tdd)": [[128, "adi.tdd.tdd"]], "tx_dma_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_dma_ms"]], "tx_dma_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_dma_raw"]], "tx_rf_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_rf_ms"]], "tx_rf_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_rf_raw"]], "tx_vco_ms (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_vco_ms"]], "tx_vco_raw (adi.tdd.tdd property)": [[128, "adi.tdd.tdd.tx_vco_raw"]], "adi.tddn": [[129, "module-adi.tddn"]], "burst_count (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.burst_count"]], "channel (adi.tddn.tddn attribute)": [[129, "adi.tddn.tddn.channel"]], "enable (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.enable"]], "frame_length_ms (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.frame_length_ms"]], "frame_length_raw (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.frame_length_raw"]], "internal_sync_period_ms (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.internal_sync_period_ms"]], "internal_sync_period_raw (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.internal_sync_period_raw"]], "startup_delay_ms (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.startup_delay_ms"]], "startup_delay_raw (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.startup_delay_raw"]], "state (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.state"]], "sync_external (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.sync_external"]], "sync_internal (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.sync_internal"]], "sync_reset (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.sync_reset"]], "sync_soft (adi.tddn.tddn property)": [[129, "adi.tddn.tddn.sync_soft"]], "tddn (class in adi.tddn)": [[129, "adi.tddn.tddn"]], "adi": [[130, "module-adi"]], "adi.dds": [[131, "module-adi.dds"]], "adi.sync_start": [[131, "module-adi.sync_start"]], "dds (class in adi.dds)": [[131, "adi.dds.dds"]], "dds_dual_tone() (adi.dds.dds method)": [[131, "adi.dds.dds.dds_dual_tone"]], "dds_enabled (adi.dds.dds property)": [[131, "adi.dds.dds.dds_enabled"]], "dds_frequencies (adi.dds.dds property)": [[131, "adi.dds.dds.dds_frequencies"]], "dds_phases (adi.dds.dds property)": [[131, "adi.dds.dds.dds_phases"]], "dds_scales (adi.dds.dds property)": [[131, "adi.dds.dds.dds_scales"]], "dds_single_tone() (adi.dds.dds method)": [[131, "adi.dds.dds.dds_single_tone"]], "disable_dds() (adi.dds.dds method)": [[131, "adi.dds.dds.disable_dds"]], "rx_sync_start (adi.sync_start.sync_start property)": [[131, "adi.sync_start.sync_start.rx_sync_start"]], "rx_sync_start_available (adi.sync_start.sync_start property)": [[131, "adi.sync_start.sync_start.rx_sync_start_available"]], "sync_start (class in adi.sync_start)": [[131, "adi.sync_start.sync_start"]], "tx_sync_start (adi.sync_start.sync_start property)": [[131, "adi.sync_start.sync_start.tx_sync_start"]], "tx_sync_start_available (adi.sync_start.sync_start property)": [[131, "adi.sync_start.sync_start.tx_sync_start_available"]]}}) \ No newline at end of file diff --git a/main/support.html b/main/support.html new file mode 100644 index 000000000..46af49975 --- /dev/null +++ b/main/support.html @@ -0,0 +1,324 @@ + + + + + + + + Support — Analog Devices Hardware Python Interfaces 0.0.19 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ +
+ +
+ + + +
+
+
+
On this page
+ +
+ +
+
+ + + + +
+
+
+
+ +
+

Support#

+

Question and general support related to pyadi-iio should be ask in the Software Interface Tools forum at ADI’s EngineerZone. Code bugs or enhancement requests should be submitted through GitHub issues for the repository itself.

+
+ + +
+ + +
+
+
+ +
+ + + + + + \ No newline at end of file