diff --git a/RULES.md b/RULES.md index 4521e086..3889effa 100644 --- a/RULES.md +++ b/RULES.md @@ -75,6 +75,33 @@ end endmodule ``` +## default_nettype_none + +### Description + +`` `default_nettype none`` should be at the top of source code + +### Reason + +`` `default_nettype none`` can detect unintentional implicit wires + +### Pass example + +```SystemVerilog +`default_nettype none +module A; +endmodule + +``` + +### Fail example + +```SystemVerilog +module A; +endmodule + +``` + ## enum_with_type ### Description