From 52bb8598174d979a60badd6304416d1066022247 Mon Sep 17 00:00:00 2001 From: Eberhard Beilharz Date: Wed, 18 Oct 2023 16:11:13 +0200 Subject: [PATCH 1/2] chore(linux): Rename (lib)kmnkbp to (lib)keymancore --- .github/workflows/deb-packaging.yml | 2 +- core/build.sh | 20 ++--- core/include/keyman/keyman_core_api.h | 2 +- core/meson.build | 4 +- core/src/meson.build | 6 +- core/src/version.rc | 2 +- core/tests/kmx_test_source/meson.build | 2 +- core/tests/unit/kmnkbd/meson.build | 2 +- .../unit/kmx/fixtures/binary/meson.build | 2 +- core/tests/unit/kmx/meson.build | 2 +- developer/src/inst/kmdev.wxs | 2 +- developer/src/tike/Makefile | 6 +- .../debug/Keyman.System.Debug.DebugCore.pas | 2 +- .../tike/main/Keyman.System.KeymanCore.pas | 52 ++++++------ .../main/Keyman.System.KeymanCoreDebug.pas | 6 +- docs/settings/linux/tasks.json | 2 +- linux/debian/libkmnkbp0-0.symbols | 82 +++++++++---------- linux/ibus-keyman/meson.build | 4 +- linux/ibus-keyman/src/meson.build | 2 +- linux/ibus-keyman/src/test/meson.build | 2 +- linux/ibus-keyman/tests/meson.build | 6 +- linux/scripts/deb-packaging.sh | 2 +- .../src/engine/keyman32/keyboardoptions.cpp | 8 +- .../src/engine/keyman32/keyman-engine.vcxproj | 4 +- windows/src/engine/keyman32/keyman32.vcxproj | 6 +- .../keyman-engine-tests.vcxproj | 4 +- windows/src/engine/keyman64/keyman64.vcxproj | 6 +- 27 files changed, 120 insertions(+), 120 deletions(-) diff --git a/.github/workflows/deb-packaging.yml b/.github/workflows/deb-packaging.yml index 6afe34aa142..c5d1829ebbd 100644 --- a/.github/workflows/deb-packaging.yml +++ b/.github/workflows/deb-packaging.yml @@ -219,7 +219,7 @@ jobs: echo "::endgroup::" api_verification: - name: Verify API for libkmnkbp0.so + name: Verify API for libkeymancore.so needs: [sourcepackage, binary_packages] runs-on: ubuntu-latest diff --git a/core/build.sh b/core/build.sh index 97794192029..646a3731c28 100755 --- a/core/build.sh +++ b/core/build.sh @@ -107,13 +107,13 @@ builder_describe_outputs \ configure:mac-arm64 /core/build/mac-arm64/$BUILDER_CONFIGURATION/build.ninja \ configure:arch /core/build/arch/$BUILDER_CONFIGURATION/build.ninja \ configure:wasm /core/build/wasm/$BUILDER_CONFIGURATION/build.ninja \ - build:x86 /core/build/x86/$BUILDER_CONFIGURATION/src/libkmnkbp0.a \ - build:x64 /core/build/x64/$BUILDER_CONFIGURATION/src/libkmnkbp0.a \ - build:mac /core/build/mac/$BUILDER_CONFIGURATION/libkmnkbp0.a \ - build:mac-x86_64 /core/build/mac-x86_64/$BUILDER_CONFIGURATION/src/libkmnkbp0.a \ - build:mac-arm64 /core/build/mac-arm64/$BUILDER_CONFIGURATION/src/libkmnkbp0.a \ - build:arch /core/build/arch/$BUILDER_CONFIGURATION/src/libkmnkbp0.a \ - build:wasm /core/build/wasm/$BUILDER_CONFIGURATION/src/libkmnkbp0.a + build:x86 /core/build/x86/$BUILDER_CONFIGURATION/src/libkeymancore.a \ + build:x64 /core/build/x64/$BUILDER_CONFIGURATION/src/libkeymancore.a \ + build:mac /core/build/mac/$BUILDER_CONFIGURATION/libkeymancore.a \ + build:mac-x86_64 /core/build/mac-x86_64/$BUILDER_CONFIGURATION/src/libkeymancore.a \ + build:mac-arm64 /core/build/mac-arm64/$BUILDER_CONFIGURATION/src/libkeymancore.a \ + build:arch /core/build/arch/$BUILDER_CONFIGURATION/src/libkeymancore.a \ + build:wasm /core/build/wasm/$BUILDER_CONFIGURATION/src/libkeymancore.a # Import our standard compiler defines; this is copied from # /resources/build/meson/standard.meson.build by build.sh, because meson doesn't @@ -157,9 +157,9 @@ do_action build if builder_start_action build:mac; then lipo -create \ - "$KEYMAN_ROOT/core/build/mac-x86_64/$BUILDER_CONFIGURATION/src/libkmnkbp0.a" \ - "$KEYMAN_ROOT/core/build/mac-arm64/$BUILDER_CONFIGURATION/src/libkmnkbp0.a" \ - -output "$KEYMAN_ROOT/core/build/mac/$BUILDER_CONFIGURATION/libkmnkbp0.a" + "$KEYMAN_ROOT/core/build/mac-x86_64/$BUILDER_CONFIGURATION/src/libkeymancore.a" \ + "$KEYMAN_ROOT/core/build/mac-arm64/$BUILDER_CONFIGURATION/src/libkeymancore.a" \ + -output "$KEYMAN_ROOT/core/build/mac/$BUILDER_CONFIGURATION/libkeymancore.a" builder_finish_action success build:mac fi diff --git a/core/include/keyman/keyman_core_api.h b/core/include/keyman/keyman_core_api.h index 83182f53a33..666895b5202 100644 --- a/core/include/keyman/keyman_core_api.h +++ b/core/include/keyman/keyman_core_api.h @@ -1,6 +1,6 @@ /* Copyright: © 2018 SIL International. - Description: Cross platform API C/C++ declarations for libkmnkbp keyboard + Description: Cross platform API C/C++ declarations for libkeymancore keyboard processor. Create Date: 2 Oct 2018 Authors: Tim Eves (TSE) diff --git a/core/meson.build b/core/meson.build index 54214aded29..6f5429a7b1a 100644 --- a/core/meson.build +++ b/core/meson.build @@ -1,5 +1,5 @@ # Copyright: © 2018-2022 SIL International. -# Description: Cross platform build script to compile libkmnkbp, documentation +# Description: Cross platform build script to compile libkeymancore, documentation # and tests. # Create Date: 2 Oct 2018 # Authors: Tim Eves (TSE) @@ -19,7 +19,7 @@ project('keyboardprocessor', 'cpp', 'c', # meson doesn't allow us to reference a file outside its root subdir('resources') -lib_version = '0.0.0' +lib_version = '1.0.0' py = import('python') python = py.find_installation() diff --git a/core/src/meson.build b/core/src/meson.build index 51df8c6b57f..c13e41159ed 100644 --- a/core/src/meson.build +++ b/core/src/meson.build @@ -1,5 +1,5 @@ # Copyright: © 2018-2022 SIL International. -# Description: Cross platform build script to compile libkmnkbp. +# Description: Cross platform build script to compile libkeymancore. # Create Date: 2 Oct 2018 # Authors: Tim Eves (TSE) # @@ -94,7 +94,7 @@ mock_files = files( 'mock/mock_processor.cpp', ) -lib = library('kmnkbp0', +lib = library('keymancore', api_files, core_files, kmx_files, @@ -111,7 +111,7 @@ lib = library('kmnkbp0', headerdirs = [ '.', 'keyman' ] # subdirectories of ${prefix}/include to add to header path -kmnkbp = declare_dependency(link_with: lib, include_directories: inc, dependencies: [icu_uc, icu_i18n]) +keymancore = declare_dependency(link_with: lib, include_directories: inc, dependencies: [icu_uc, icu_i18n]) pkg = import('pkgconfig') pkg.generate( diff --git a/core/src/version.rc b/core/src/version.rc index 055a9fb55fb..d129fa52f16 100644 --- a/core/src/version.rc +++ b/core/src/version.rc @@ -23,7 +23,7 @@ VALUE "InternalName", "Keyman Core" VALUE "LegalCopyright", "© SIL International" VALUE "LegalTrademarks", "" - VALUE "OriginalFilename", "KMNCORE0-0.DLL" + VALUE "OriginalFilename", "KEYMANCORE1-0.DLL" VALUE "ProductName", "Keyman Core" VALUE "ProductVersion", KM_CORE_VERSION_STRING VALUE "Comments", "" diff --git a/core/tests/kmx_test_source/meson.build b/core/tests/kmx_test_source/meson.build index 691839047f8..76c7c48bfea 100644 --- a/core/tests/kmx_test_source/meson.build +++ b/core/tests/kmx_test_source/meson.build @@ -13,7 +13,7 @@ coretest_files = files( 'kmx_test_source.cpp', ) -kmx_test_source_lib = static_library('kmnkbp-tests', +kmx_test_source_lib = static_library('keymancore-tests', coretest_files, cpp_args: defns + warns + flags, include_directories: [inc, libsrc], diff --git a/core/tests/unit/kmnkbd/meson.build b/core/tests/unit/kmnkbd/meson.build index 3643a7a11f4..3142089042a 100644 --- a/core/tests/unit/kmnkbd/meson.build +++ b/core/tests/unit/kmnkbd/meson.build @@ -1,5 +1,5 @@ # Copyright: © 2018 SIL International. -# Description: Cross platform build script to compile libkmnkbp API unit tests. +# Description: Cross platform build script to compile libkeymancore API unit tests. # Create Date: 19 Oct 2018 # Authors: Tim Eves (TSE) # diff --git a/core/tests/unit/kmx/fixtures/binary/meson.build b/core/tests/unit/kmx/fixtures/binary/meson.build index fb01836edeb..46b0e78d318 100644 --- a/core/tests/unit/kmx/fixtures/binary/meson.build +++ b/core/tests/unit/kmx/fixtures/binary/meson.build @@ -1,5 +1,5 @@ # Copyright: © 2018 SIL International. -# Description: Cross platform build script to compile libkmnkbp API unit tests. +# Description: Cross platform build script to compile libkeymancore API unit tests. # Create Date: 19 Oct 2018 # Authors: Marc Durdin, Tim Eves (TSE) # History: 19 Oct 2018 - TSE - Added test for context API functions. diff --git a/core/tests/unit/kmx/meson.build b/core/tests/unit/kmx/meson.build index e08937aff12..a2e43ff1d49 100644 --- a/core/tests/unit/kmx/meson.build +++ b/core/tests/unit/kmx/meson.build @@ -1,5 +1,5 @@ # Copyright: © 2018 SIL International. -# Description: Cross platform build script to compile libkmnkbp API unit tests. +# Description: Cross platform build script to compile libkeymancore API unit tests. # Create Date: 19 Oct 2018 # Authors: Marc Durdin, Tim Eves (TSE) # History: 19 Oct 2018 - TSE - Added test for context API functions. diff --git a/developer/src/inst/kmdev.wxs b/developer/src/inst/kmdev.wxs index 86f62cc82c5..80b0df7250e 100644 --- a/developer/src/inst/kmdev.wxs +++ b/developer/src/inst/kmdev.wxs @@ -164,7 +164,7 @@ - + diff --git a/developer/src/tike/Makefile b/developer/src/tike/Makefile index c6a8cb16044..c442ddd9329 100644 --- a/developer/src/tike/Makefile +++ b/developer/src/tike/Makefile @@ -13,7 +13,7 @@ build: version.res manifest.res icons dirs xml xsd pull-core $(COPY) kmlmc.cmd $(DEVELOPER_PROGRAM) $(COPY) kmlmp.cmd $(DEVELOPER_PROGRAM) $(COPY) kmc.cmd $(DEVELOPER_PROGRAM) - $(COPY) $(KEYMAN_ROOT)\core\build\x86\$(TARGET_PATH)\src\kmnkbp0-0.dll $(DEVELOPER_PROGRAM) + $(COPY) $(KEYMAN_ROOT)\core\build\x86\$(TARGET_PATH)\src\keymancore-1.dll $(DEVELOPER_PROGRAM) if exist $(WIN32_TARGET_PATH)\tike.dbg $(COPY) $(WIN32_TARGET_PATH)\tike.dbg $(DEVELOPER_DEBUGPATH) xsd: @@ -66,7 +66,7 @@ clean: def-clean signcode: $(SIGNCODE) /d "Keyman Developer" $(DEVELOPER_PROGRAM)\tike.exe - $(SIGNCODE) /d "Keyman Core" $(DEVELOPER_PROGRAM)\kmnkbp0-0.dll + $(SIGNCODE) /d "Keyman Core" $(DEVELOPER_PROGRAM)\keymancore-1.dll # Sign the Sentry executables and libraries here $(SIGNCODE) /d "Keyman Developer" $(DEVELOPER_PROGRAM)\sentry.dll $(SIGNCODE) /d "Keyman Developer" $(DEVELOPER_PROGRAM)\sentry.x64.dll @@ -78,7 +78,7 @@ wrap-symbols: install: $(COPY) $(DEVELOPER_PROGRAM)\tike.exe "$(INSTALLPATH_KEYMANDEVELOPER)\tike.exe" - $(COPY) $(DEVELOPER_PROGRAM)\kmnkbp0-0.dll "$(INSTALLPATH_KEYMANDEVELOPER)\kmnkbp0-0.dll" + $(COPY) $(DEVELOPER_PROGRAM)\keymancore-1.dll "$(INSTALLPATH_KEYMANDEVELOPER)\keymancore-1.dll" test-manifest: # test that linked manifest exists and correct diff --git a/developer/src/tike/debug/Keyman.System.Debug.DebugCore.pas b/developer/src/tike/debug/Keyman.System.Debug.DebugCore.pas index 05ded33f79f..9fade304ea1 100644 --- a/developer/src/tike/debug/Keyman.System.Debug.DebugCore.pas +++ b/developer/src/tike/debug/Keyman.System.Debug.DebugCore.pas @@ -85,7 +85,7 @@ class procedure TDebugCore.InitKeymanCore; begin if not KeymanCoreLoaded then begin - path := TKeymanPaths.KeymanCoreLibraryPath(kmnkbp0); + path := TKeymanPaths.KeymanCoreLibraryPath(keymancore); try _km_core_set_library_path(path); except diff --git a/developer/src/tike/main/Keyman.System.KeymanCore.pas b/developer/src/tike/main/Keyman.System.KeymanCore.pas index 8abe0733175..e086980d0de 100644 --- a/developer/src/tike/main/Keyman.System.KeymanCore.pas +++ b/developer/src/tike/main/Keyman.System.KeymanCore.pas @@ -79,58 +79,58 @@ km_core_context_item = record ); const - kmnkbp0 = 'kmnkbp0-0.dll'; + keymancore = 'keymancore-1.dll'; function km_core_context_items_from_utf16( const text: pkm_core_cp; var out_ptr: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_context_items_from_utf8( const text: PAnsiChar; var out_ptr: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_context_items_to_utf16( const item: pkm_core_context_item; buf: pkm_core_cp; var buf_size: integer -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_context_items_to_utf8( const item: pkm_core_context_item; buf: pansichar; var buf_size: integer -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; procedure km_core_context_items_dispose( const context_items: km_core_context_item -); cdecl; external kmnkbp0 delayed; +); cdecl; external keymancore delayed; function km_core_context_set( context: pkm_core_context; context_items: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_context_get( context: pkm_core_context; var context_items: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; procedure km_core_context_clear( context: pkm_core_context -); cdecl; external kmnkbp0 delayed; +); cdecl; external keymancore delayed; function km_core_context_append( context: pkm_core_context; context_items: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_context_shrink( context: pkm_core_context; num: Integer; prefix: pkm_core_context_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; @@ -208,25 +208,25 @@ km_core_action_item = record function km_core_options_list_size( opts: pkm_core_option_item -): Integer; cdecl; external kmnkbp0 delayed; +): Integer; cdecl; external keymancore delayed; function km_core_state_option_lookup( state: pkm_core_state; scope: km_core_option_scope; key: pkm_core_cp; var value: pkm_core_cp -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_state_options_update( state: pkm_core_state; new_opts: pkm_core_option_item -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_state_options_to_json( state: pkm_core_state; buf: PAnsiChar; var space: Integer -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; type km_core_keyboard_attrs = record @@ -241,46 +241,46 @@ km_core_keyboard_attrs = record function km_core_keyboard_load( kb_path: km_core_path_name; var keyboard: pkm_core_keyboard -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; procedure km_core_keyboard_dispose( keyboard: pkm_core_keyboard -); cdecl; external kmnkbp0 delayed; +); cdecl; external keymancore delayed; function km_core_keyboard_get_attrs( keyboard: pkm_core_keyboard; var out: pkm_core_keyboard_attrs -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_state_create( keyboard: pkm_core_keyboard; env: pkm_core_option_item; var out: pkm_core_state -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; function km_core_state_clone( state: pkm_core_state; var out: pkm_core_state -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; procedure km_core_state_dispose( state: pkm_core_state -); cdecl; external kmnkbp0 delayed; +); cdecl; external keymancore delayed; function km_core_state_context( state: pkm_core_state -): pkm_core_context; cdecl; external kmnkbp0 delayed; +): pkm_core_context; cdecl; external keymancore delayed; function km_core_state_action_items( state: pkm_core_state; num_items: pinteger -): pkm_core_action_item; cdecl; external kmnkbp0 delayed; +): pkm_core_action_item; cdecl; external keymancore delayed; function km_core_state_to_json( state: pkm_core_state; buf: PAnsiChar; space: pinteger -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; type km_core_attr = record @@ -305,7 +305,7 @@ km_core_attr = record function km_core_get_engine_attrs( state: pkm_core_state -): pkm_core_attr; cdecl; external kmnkbp0 delayed; +): pkm_core_attr; cdecl; external keymancore delayed; function km_core_process_event( @@ -314,7 +314,7 @@ function modifier_state: uint16_t; is_key_down: uint8_t; event_flags: uint16_t -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; const KM_CORE_EVENT_FLAG_DEFAULT = 0; // default value: hardware diff --git a/developer/src/tike/main/Keyman.System.KeymanCoreDebug.pas b/developer/src/tike/main/Keyman.System.KeymanCoreDebug.pas index 7aced230fbf..b5fad6b76a4 100644 --- a/developer/src/tike/main/Keyman.System.KeymanCoreDebug.pas +++ b/developer/src/tike/main/Keyman.System.KeymanCoreDebug.pas @@ -147,7 +147,7 @@ km_core_state_debug_item = record function km_core_state_debug_set( state: pkm_core_state; value: integer -): km_core_status; cdecl; external kmnkbp0 delayed; +): km_core_status; cdecl; external keymancore delayed; /// /// Get current debug tracing status @@ -158,7 +158,7 @@ function km_core_state_debug_set( /// function km_core_state_debug_get( state: pkm_core_state -): uint8_t; cdecl; external kmnkbp0 delayed; +): uint8_t; cdecl; external keymancore delayed; /// /// Read current debug trace log @@ -173,7 +173,7 @@ function km_core_state_debug_get( function km_core_state_debug_items( state: pkm_core_state; num_items: PCardinal -): pkm_core_state_debug_item; cdecl; external kmnkbp0 delayed; +): pkm_core_state_debug_item; cdecl; external keymancore delayed; implementation diff --git a/docs/settings/linux/tasks.json b/docs/settings/linux/tasks.json index 49a09bf1302..0d026c5afca 100644 --- a/docs/settings/linux/tasks.json +++ b/docs/settings/linux/tasks.json @@ -108,7 +108,7 @@ "CPPFLAGS": "-DG_MESSAGES_DEBUG -I${workspaceFolder}/core/build/arch/debug/include/ -I${workspaceFolder}/core/include/ -I/home/eberhard/Develop/keyman/ibus/ibus/src -I${workspaceFolder}/common/include", "CFLAGS": "-g -O0", "CXXFLAGS": "-g -O0", - "KEYMAN_PROC_LIBS": "-L${workspaceFolder}/core/build/arch/debug/src -lkmnkbp0", + "KEYMAN_PROC_LIBS": "-L${workspaceFolder}/core/build/arch/debug/src -lkeymancore", "KEYMAN_PROC_CFLAGS": "-I${workspaceFolder}/core/build/arch/debug/include -I${workspaceFolder}/core/include -I${workspaceFolder}/common/include", "PKG_CONFIG_PATH": "${workspaceFolder}/core/build/arch/debug/meson-private" }, diff --git a/linux/debian/libkmnkbp0-0.symbols b/linux/debian/libkmnkbp0-0.symbols index e507e05a2ca..31a9bd6237f 100644 --- a/linux/debian/libkmnkbp0-0.symbols +++ b/linux/debian/libkmnkbp0-0.symbols @@ -1,43 +1,43 @@ -libkmnkbp0.so.0 libkmnkbp0-0 #MINVER# +libkeymancore.so.1 libkmnkbp0-0 #MINVER# * Build-Depends-Package: libkmnkbp-dev - km_core_state_get_intermediate_context@Base 17.0.193 - km_core_context_append@Base 15.0 - km_core_context_clear@Base 15.0 - km_core_context_get@Base 15.0 - km_core_context_item_list_size@Base 15.0 - km_core_context_items_dispose@Base 15.0 - km_core_context_items_from_utf16@Base 15.0 - km_core_context_items_from_utf8@Base 15.0 - km_core_context_items_to_utf16@Base 15.0 - km_core_context_items_to_utf8@Base 15.0 - km_core_context_length@Base 15.0 - km_core_context_set@Base 15.0 - km_core_context_shrink@Base 15.0 - km_core_event@Base 16.0.105 - km_core_get_engine_attrs@Base 15.0 - km_core_keyboard_dispose@Base 15.0 - km_core_keyboard_get_attrs@Base 15.0 - km_core_keyboard_get_imx_list@Base 15.0 - km_core_keyboard_get_key_list@Base 15.0 - km_core_keyboard_imx_list_dispose@Base 15.0 - km_core_keyboard_key_list_dispose@Base 15.0 - km_core_keyboard_load@Base 15.0 - km_core_options_list_size@Base 15.0 - km_core_process_event@Base 15.0 - km_core_process_queued_actions@Base 15.0 - km_core_state_action_items@Base 15.0 - km_core_state_clone@Base 15.0 - km_core_state_context@Base 15.0 - km_core_state_create@Base 15.0 - km_core_state_debug_get@Base 15.0 - km_core_state_debug_items@Base 15.0 - km_core_state_debug_set@Base 15.0 - km_core_state_dispose@Base 15.0 - km_core_state_imx_deregister_callback@Base 15.0 - km_core_state_imx_register_callback@Base 15.0 - km_core_state_option_lookup@Base 15.0 - km_core_state_options_to_json@Base 15.0 - km_core_state_options_update@Base 15.0 - km_core_state_queue_action_items@Base 15.0 - km_core_state_to_json@Base 15.0 + km_core_state_get_intermediate_context@Base 17.0.194 + km_core_context_append@Base 17.0.194 + km_core_context_clear@Base 17.0.194 + km_core_context_get@Base 17.0.194 + km_core_context_item_list_size@Base 17.0.194 + km_core_context_items_dispose@Base 17.0.194 + km_core_context_items_from_utf16@Base 17.0.194 + km_core_context_items_from_utf8@Base 17.0.194 + km_core_context_items_to_utf16@Base 17.0.194 + km_core_context_items_to_utf8@Base 17.0.194 + km_core_context_length@Base 17.0.194 + km_core_context_set@Base 17.0.194 + km_core_context_shrink@Base 17.0.194 + km_core_event@Base 17.0.194 + km_core_get_engine_attrs@Base 17.0.194 + km_core_keyboard_dispose@Base 17.0.194 + km_core_keyboard_get_attrs@Base 17.0.194 + km_core_keyboard_get_imx_list@Base 17.0.194 + km_core_keyboard_get_key_list@Base 17.0.194 + km_core_keyboard_imx_list_dispose@Base 17.0.194 + km_core_keyboard_key_list_dispose@Base 17.0.194 + km_core_keyboard_load@Base 17.0.194 + km_core_options_list_size@Base 17.0.194 + km_core_process_event@Base 17.0.194 + km_core_process_queued_actions@Base 17.0.194 + km_core_state_action_items@Base 17.0.194 + km_core_state_clone@Base 17.0.194 + km_core_state_context@Base 17.0.194 + km_core_state_create@Base 17.0.194 + km_core_state_debug_get@Base 17.0.194 + km_core_state_debug_items@Base 17.0.194 + km_core_state_debug_set@Base 17.0.194 + km_core_state_dispose@Base 17.0.194 + km_core_state_imx_deregister_callback@Base 17.0.194 + km_core_state_imx_register_callback@Base 17.0.194 + km_core_state_option_lookup@Base 17.0.194 + km_core_state_options_to_json@Base 17.0.194 + km_core_state_options_update@Base 17.0.194 + km_core_state_queue_action_items@Base 17.0.194 + km_core_state_to_json@Base 17.0.194 diff --git a/linux/ibus-keyman/meson.build b/linux/ibus-keyman/meson.build index 2e402b868e7..45539b5ab71 100644 --- a/linux/ibus-keyman/meson.build +++ b/linux/ibus-keyman/meson.build @@ -18,8 +18,8 @@ icu = dependency('icu-i18n') core_dir = meson.current_source_dir() / '../../core' common_dir = meson.current_source_dir() / '../../common' -kmnkbp_lib = cc.find_library( - 'kmnkbp0', # meson will prefix 'lib' +keymancore_lib = cc.find_library( + 'keymancore', # meson will prefix 'lib' dirs: [ core_dir / 'build/arch' / get_option('buildtype') / 'src' ] ) diff --git a/linux/ibus-keyman/src/meson.build b/linux/ibus-keyman/src/meson.build index f7fe8ada1d0..2b436caef60 100644 --- a/linux/ibus-keyman/src/meson.build +++ b/linux/ibus-keyman/src/meson.build @@ -17,7 +17,7 @@ include_dirs = [ include_directories(meson.current_build_dir() / '..'), ] -deps = [gtk, ibus, icu, json_glib, kmnkbp_lib, systemd] +deps = [gtk, ibus, icu, json_glib, keymancore_lib, systemd] prefix = get_option('prefix') cfg = configuration_data() diff --git a/linux/ibus-keyman/src/test/meson.build b/linux/ibus-keyman/src/test/meson.build index 02631e27f79..333f05c212a 100644 --- a/linux/ibus-keyman/src/test/meson.build +++ b/linux/ibus-keyman/src/test/meson.build @@ -3,7 +3,7 @@ keymanutil_sources = [ util_files, ] -keymanutil_deps = [gtk, ibus, icu, json_glib, kmnkbp_lib] +keymanutil_deps = [gtk, ibus, icu, json_glib, keymancore_lib] test_env = [ 'G_TEST_SRCDIR=' + meson.current_source_dir(), diff --git a/linux/ibus-keyman/tests/meson.build b/linux/ibus-keyman/tests/meson.build index 1637fbc9bc4..73ce8d84d08 100644 --- a/linux/ibus-keyman/tests/meson.build +++ b/linux/ibus-keyman/tests/meson.build @@ -5,12 +5,12 @@ test_files = [ '../src/KeymanSystemServiceClient.cpp', ] -kmnkbp_tests_lib = cc.find_library( - 'kmnkbp-tests', # meson will prefix 'lib' +keymancore_tests_lib = cc.find_library( + 'keymancore-tests', # meson will prefix 'lib' dirs: [ core_dir / 'build/arch' / get_option('buildtype') / 'tests/kmx_test_source' ] ) -test_deps = [gtk, ibus, icu, json_glib, kmnkbp_lib, kmnkbp_tests_lib, systemd] +test_deps = [gtk, ibus, icu, json_glib, keymancore_lib, keymancore_tests_lib, systemd] dbus_deps = [gtk, systemd] test_env = [ diff --git a/linux/scripts/deb-packaging.sh b/linux/scripts/deb-packaging.sh index 62fd389199d..4fb4c90c773 100755 --- a/linux/scripts/deb-packaging.sh +++ b/linux/scripts/deb-packaging.sh @@ -63,7 +63,7 @@ if builder_start_action verify; then tmpDir=$(mktemp -d) dpkg -x "${BIN_PKG}" "$tmpDir" cd debian - dpkg-gensymbols -v"${PKG_VERSION}" -plibkmnkbp0-0 -e"${tmpDir}"/usr/lib/x86_64-linux-gnu/libkmnkbp0.so* -Olibkmnkbp0-0.symbols -c4 + dpkg-gensymbols -v"${PKG_VERSION}" -plibkmnkbp0-0 -e"${tmpDir}"/usr/lib/x86_64-linux-gnu/libkeymancore.so* -Olibkmnkbp0-0.symbols -c4 echo ":heavy_check_mark: libkmnkbp0-0 API didn't change" >&2 fi builder_finish_action success verify diff --git a/windows/src/engine/keyman32/keyboardoptions.cpp b/windows/src/engine/keyman32/keyboardoptions.cpp index f3663115709..33758e3d7bf 100644 --- a/windows/src/engine/keyman32/keyboardoptions.cpp +++ b/windows/src/engine/keyman32/keyboardoptions.cpp @@ -22,14 +22,14 @@ BOOL IntLoadKeyboardOptionsRegistrytoCore(LPCSTR key, LPINTKEYBOARDINFO kp, km_core_state* const state); void IntSaveKeyboardOptionCoretoRegistry(LPCSTR REGKey, LPINTKEYBOARDINFO kp, LPCWSTR key, LPWSTR value); -static km_core_cp* CloneKMCORECP(const km_core_cp* cp) { +static km_core_cp* CloneKeymanCoreCP(const km_core_cp* cp) { LPCWSTR buf = reinterpret_cast(cp); km_core_cp* clone = new km_core_cp[wcslen(buf) + 1]; wcscpy_s(reinterpret_cast(clone), wcslen(buf) + 1, buf); return clone; } -static km_core_cp* CloneKMCORECPFromWSTR(LPWSTR buf) { +static km_core_cp* CloneKeymanCoreCPFromWSTR(LPWSTR buf) { km_core_cp* clone = new km_core_cp[wcslen(buf) + 1]; wcscpy_s(reinterpret_cast(clone), wcslen(buf) + 1, buf); return clone; @@ -90,9 +90,9 @@ BOOL IntLoadKeyboardOptionsRegistrytoCore(LPCSTR key, LPINTKEYBOARDINFO kp, km_c LPCWSTR coreKey = reinterpret_cast(kpc->key); WCHAR val[256]; if (hasData && r.ReadString(coreKey, val, sizeof(val) / sizeof(val[0])) && val[0]) { - keyboardOpts[n].value = CloneKMCORECPFromWSTR(val); + keyboardOpts[n].value = CloneKeymanCoreCPFromWSTR(val); } else { - keyboardOpts[n].value = CloneKMCORECP(kpc->value); + keyboardOpts[n].value = CloneKeymanCoreCP(kpc->value); } n++; } diff --git a/windows/src/engine/keyman32/keyman-engine.vcxproj b/windows/src/engine/keyman32/keyman-engine.vcxproj index d2e78ef7e4f..391b86cbbc8 100644 --- a/windows/src/engine/keyman32/keyman-engine.vcxproj +++ b/windows/src/engine/keyman32/keyman-engine.vcxproj @@ -98,7 +98,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - kmnkbp0.lib;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) + keymancore.lib;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) ./Keyman32.dll true keyman32.def @@ -160,7 +160,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - kmnkbp0.lib;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) + keymancore.lib;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) ./Keyman32.dll true keyman32.def diff --git a/windows/src/engine/keyman32/keyman32.vcxproj b/windows/src/engine/keyman32/keyman32.vcxproj index 86881337875..70b8402ad79 100644 --- a/windows/src/engine/keyman32/keyman32.vcxproj +++ b/windows/src/engine/keyman32/keyman32.vcxproj @@ -96,7 +96,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - libicuuc.a;libicuin.a;libkmnkbp0.a;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) + libicuuc.a;libicuin.a;libkeymancore.a;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) true keyman32.def true @@ -152,7 +152,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - libicuuc.a;libicuin.a;libkmnkbp0.a;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) + libicuuc.a;libicuin.a;libkeymancore.a;psapi.lib;rpcrt4.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;%(AdditionalDependencies) true keyman32.def true @@ -387,4 +387,4 @@ - \ No newline at end of file + diff --git a/windows/src/engine/keyman32/tests/keyman-engine-tests/keyman-engine-tests.vcxproj b/windows/src/engine/keyman32/tests/keyman-engine-tests/keyman-engine-tests.vcxproj index 94ec1574c2b..5b9116ea2d3 100644 --- a/windows/src/engine/keyman32/tests/keyman-engine-tests/keyman-engine-tests.vcxproj +++ b/windows/src/engine/keyman32/tests/keyman-engine-tests/keyman-engine-tests.vcxproj @@ -98,7 +98,7 @@ true Console - version.lib;psapi.lib;imm32.lib;libkmnkbp0.a;%(AdditionalDependencies) + version.lib;psapi.lib;imm32.lib;libkeymancore.a;%(AdditionalDependencies) false @@ -157,4 +157,4 @@ - \ No newline at end of file + diff --git a/windows/src/engine/keyman64/keyman64.vcxproj b/windows/src/engine/keyman64/keyman64.vcxproj index 89b7ffa2e1d..f80c93089d7 100644 --- a/windows/src/engine/keyman64/keyman64.vcxproj +++ b/windows/src/engine/keyman64/keyman64.vcxproj @@ -96,7 +96,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - libicuuc.a;libicuin.a;libkmnkbp0.a;psapi.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;libcmt.lib;%(AdditionalDependencies) + libicuuc.a;libicuin.a;libkeymancore.a;psapi.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;libcmt.lib;%(AdditionalDependencies) true C:\Program Files\Microsoft SDKs\Windows\v7.0\Lib\x64;$(VCInstallDir)lib\amd64;$(VCInstallDir)lib;%(AdditionalLibraryDirectories) false @@ -152,7 +152,7 @@ /verbose:lib /section:.SHARDATA,rws %(AdditionalOptions) - libicuuc.a;libicuin.a;libkmnkbp0.a;psapi.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;libcmt.lib;%(AdditionalDependencies) + libicuuc.a;libicuin.a;libkeymancore.a;psapi.lib;version.lib;setupapi.lib;iphlpapi.lib;imm32.lib;crypt32.lib;wintrust.lib;imagehlp.lib;ws2_32.lib;libcmt.lib;%(AdditionalDependencies) true C:\Program Files\Microsoft SDKs\Windows\v7.0\Lib\x64;$(VCInstallDir)lib\amd64;$(VCInstallDir)lib;%(AdditionalLibraryDirectories) false @@ -327,4 +327,4 @@ - \ No newline at end of file + From a126806597158853ea7d6615cc84121ada17afbc Mon Sep 17 00:00:00 2001 From: Eberhard Beilharz Date: Fri, 20 Oct 2023 09:34:16 +0200 Subject: [PATCH 2/2] Update core/src/version.rc Co-authored-by: Marc Durdin --- core/src/version.rc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/core/src/version.rc b/core/src/version.rc index d129fa52f16..af2a12eb587 100644 --- a/core/src/version.rc +++ b/core/src/version.rc @@ -23,7 +23,7 @@ VALUE "InternalName", "Keyman Core" VALUE "LegalCopyright", "© SIL International" VALUE "LegalTrademarks", "" - VALUE "OriginalFilename", "KEYMANCORE1-0.DLL" + VALUE "OriginalFilename", "KEYMANCORE1.DLL" VALUE "ProductName", "Keyman Core" VALUE "ProductVersion", KM_CORE_VERSION_STRING VALUE "Comments", ""