diff --git a/EDEngineer/Resources/Data/releaseNotes.json b/EDEngineer/Resources/Data/releaseNotes.json index 5f7f3bce..384e954c 100644 --- a/EDEngineer/Resources/Data/releaseNotes.json +++ b/EDEngineer/Resources/Data/releaseNotes.json @@ -1,7 +1,7 @@ [ { "Version": "1.1.4.0", - "Content": "* Added a new menu entry to fully reload your logs in the application if you ever encounter oddities in your material list\r\n\r\n* Fix materials for large shard premium reload blueprint, thanks to @CMDR-Cometborne\r\n* All guardian blueprint segments are classified as very rare, thanks to @CMDR-Cometborne\r\n* Attempt at fixing Toast, thanks to @MaximumWoahverdrive" + "Content": "* Added a new menu entry to fully reload your logs in the application if you ever encounter oddities in your material list\r\n\r\n* Fix materials for large shard premium reload blueprint, thanks to @CMDR-Cometborne\r\n* All guardian blueprint segments are classified as very rare, thanks to @CMDR-Cometborne\r\n* Fixed Meta Alloy Hull Reinforcements unlock Requirements, thanks to @MaximumWoahverdrive\r\n* Attempt at fixing Toast, thanks to @MaximumWoahverdrive" }, { "Version": "1.1.3.12", diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application index f95c59c4..e4b09a9d 100644 --- a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application +++ b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application @@ -21,8 +21,8 @@ - 3RAL+GexJ4XxAbjNwr/vzc5LuDhfYTdGukD6mR7+76Q= + Zkgx2AyT/qlRZ9AQE9M9Dcl+7tRCLCxJwNlGZueYuCY= -dkHL7Ja2dJgQfCBk63T+L+Ae62M=MifD9ZvrCVCmAS1r7qTkM9NX360DbKaYFrQq1x+ReeI1IHvprphlD2rHM1eXMWTTqJP4yXv6FCQQ403AFObU3s024htZEQNJ0cDvxReniIEmB8EvvDEA2qWt9EW3/E6mIzzv8JqCAclaIhWGEjbbN2IKIdaCzRAxWjHLAYjJ4oc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxJHcVuVazIdzFrwg3v8mHED+kBRY=BfUfiZVR86B6oq9SZ+ngocOzPmbBIWF7q9w7Gg3qMzjjCZL5lFR2F70HpjbafIiF9K6OQQi1cFjyle+W4ItoHiBMahg7L/ZfsyyjeG+SHJrrlT9kMIoXFbtoeNuHira9qPd37zTft0S0dkLpjKggiQ3fjKCq5fJStLJqBYJnst0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +SFqZLSRti14aC6uUJCVU4DOvbTA=BWXaiWduDGUNrhJBZYQ7op1VU1FLRz3DAuxWuLBGiuuZP2JVc9uoqzu8I4wh9QwtH8MGMardr1CkPj6CIJSk+Fwyf051Zd/SF3bu+j28kwBvQT0vHn4boJcfsTWIHxQsfcFjAR0lmTroo25uVoBZYdb9NaXrpYbaGGPM2opH3C0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxsfzT0bbmqqe/Kkpn6qg5yFrkJB0=P9Ii2CEpcNdsNMb6vH5gz5kBhVOrrQqWx+x8gQyRYUCaxcxlzg/bRXjOmsQ5CGXUMqy8hd/kYb8Xh4sf6ahTb0dsgbAjxmvQCAeQjg0Ibs4DRe8wC1wY7hdYN7oCt3pJQcv8OfU8rMSjmlKYxVThsAV9cLaSpx+KNwUVh69OJK4=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy index d27a7073..7bfefc4d 100644 Binary files a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy and b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy differ diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest index 067de0d4..244c46ca 100644 --- a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest +++ b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest @@ -63,7 +63,7 @@ - 8yCpIL5TcgBsm5js+1weY/9bzRxlacyzK+72pY7a1Qw= + l3ehTXpFluNVGg/eg4gzYmOSodG+SKU0/3kZJaxbH78= @@ -276,4 +276,4 @@ -8iyE2YAMV4gnyPIgt5+lfcjHT20=yu5eNY54rdUsfQPAFw+ROPfDsKuGzyzxb6AOb5Ts3yGloH1luBClhaRJvwHtTK1jQg358b5BvO3q9a6bgsz9ydKfiiSM5aP2bcfbJQp/04YK5XXkAy5fHzbM4wGwcQQRJN+Dpy80n4/INgRf8No4IKPbfT2yylUW8g+k7NWRtcc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxXPXbP0OafKQTSN+zi5AApe9Cj/s=iqBqMhX7pSEUmPLUlb/gJoQrsAf9lOpcivOSJhpcTCAG27/D4LV7GZ9UcMjTp0GKLUXpNsxwhsqXN+oS0A+i2m2wH5tpkqRKVrlg6Talsp4yopT7kaM+ykTkqB6dKWvIgX5kOLlsS/CBvYtiJXG61Ylr8iwC3Bm6c1RQ+NSDG0s=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +TMSZQcpqdRLjxunEyBDbc3AcorI=LRnnRPTtpCh4cfQ6ml4GSo9pHUkoscXT3iC9fORNCjg8aLMj0ViBUEJAVPfAHG8+KGVsSPmS1L2oifF7kDLk5Xj7QZQ93Pro/DVi7kB21CgOJ4WSv7Sp4AV8wzUii2mjFytFtvWTJxe1wQzkzI6obkwcgwEzgAFCIGk8Ll8HRso=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxpuSGLs15wvBRDTfb8+jGNMJKRgo=Igf2V2WBu4JXR2bnDYhwk+NCufoRg4QYI7r8LINBIPx6osE5tsRG6+ZvttrwyshgFSnmrGKx4XAWG+BjRh6XTVqbyMlnO0P7EmMs4sRXVb4PpauHK45YyZEMtnXHXs1+hmqmj8D72yrUiHyZG6vKxThrP9iDg1rTCW0yba7PxvE=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file diff --git a/EDEngineer/releases/EDEngineer.application b/EDEngineer/releases/EDEngineer.application index f95c59c4..e4b09a9d 100644 --- a/EDEngineer/releases/EDEngineer.application +++ b/EDEngineer/releases/EDEngineer.application @@ -21,8 +21,8 @@ - 3RAL+GexJ4XxAbjNwr/vzc5LuDhfYTdGukD6mR7+76Q= + Zkgx2AyT/qlRZ9AQE9M9Dcl+7tRCLCxJwNlGZueYuCY= -dkHL7Ja2dJgQfCBk63T+L+Ae62M=MifD9ZvrCVCmAS1r7qTkM9NX360DbKaYFrQq1x+ReeI1IHvprphlD2rHM1eXMWTTqJP4yXv6FCQQ403AFObU3s024htZEQNJ0cDvxReniIEmB8EvvDEA2qWt9EW3/E6mIzzv8JqCAclaIhWGEjbbN2IKIdaCzRAxWjHLAYjJ4oc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxJHcVuVazIdzFrwg3v8mHED+kBRY=BfUfiZVR86B6oq9SZ+ngocOzPmbBIWF7q9w7Gg3qMzjjCZL5lFR2F70HpjbafIiF9K6OQQi1cFjyle+W4ItoHiBMahg7L/ZfsyyjeG+SHJrrlT9kMIoXFbtoeNuHira9qPd37zTft0S0dkLpjKggiQ3fjKCq5fJStLJqBYJnst0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +SFqZLSRti14aC6uUJCVU4DOvbTA=BWXaiWduDGUNrhJBZYQ7op1VU1FLRz3DAuxWuLBGiuuZP2JVc9uoqzu8I4wh9QwtH8MGMardr1CkPj6CIJSk+Fwyf051Zd/SF3bu+j28kwBvQT0vHn4boJcfsTWIHxQsfcFjAR0lmTroo25uVoBZYdb9NaXrpYbaGGPM2opH3C0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxsfzT0bbmqqe/Kkpn6qg5yFrkJB0=P9Ii2CEpcNdsNMb6vH5gz5kBhVOrrQqWx+x8gQyRYUCaxcxlzg/bRXjOmsQ5CGXUMqy8hd/kYb8Xh4sf6ahTb0dsgbAjxmvQCAeQjg0Ibs4DRe8wC1wY7hdYN7oCt3pJQcv8OfU8rMSjmlKYxVThsAV9cLaSpx+KNwUVh69OJK4=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file