{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"oss-cad-suite-build","owner":"YosysHQ","isFork":false,"description":"Multi-platform nightly builds of open source digital design and verification tools","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":1,"issueCount":45,"starsCount":799,"forksCount":71,"license":"ISC License","participation":[1,3,16,3,0,1,2,1,0,4,0,0,0,7,34,23,2,3,3,6,1,3,0,6,1,1,1,7,0,0,0,0,3,0,0,3,0,0,0,2,3,0,0,0,0,0,0,5,0,0,3,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-28T02:21:44.723Z"}},{"type":"Public","name":"nextpnr","owner":"YosysHQ","isFork":false,"description":"nextpnr portable FPGA place and route tool","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":13,"issueCount":96,"starsCount":1284,"forksCount":242,"license":"ISC License","participation":[4,1,3,4,4,5,6,7,2,2,2,2,2,17,2,9,6,5,3,2,2,5,1,0,4,0,0,2,4,3,5,1,3,0,0,0,1,2,3,2,2,2,0,1,1,2,4,0,4,13,19,13],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-27T15:37:49.169Z"}},{"type":"Public","name":"sby","owner":"YosysHQ","isFork":false,"description":"SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":11,"issueCount":38,"starsCount":390,"forksCount":74,"license":"Other","participation":[2,0,0,0,0,0,1,1,0,1,0,0,0,0,0,2,4,4,1,0,2,1,1,5,0,0,4,2,1,1,0,4,0,0,0,0,3,0,0,0,2,0,0,1,0,0,1,0,0,2,1,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-27T12:21:07.731Z"}},{"type":"Public","name":"imctk","owner":"YosysHQ","isFork":false,"description":"Incremental Model Checking Toolkit","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":0,"issueCount":9,"starsCount":1,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-27T11:52:20.277Z"}},{"type":"Public","name":"yosys","owner":"YosysHQ","isFork":false,"description":"Yosys Open SYnthesis Suite","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":124,"issueCount":432,"starsCount":3413,"forksCount":871,"license":"ISC License","participation":[53,24,14,3,16,22,26,17,34,35,44,16,5,13,41,47,46,39,51,46,37,29,29,34,27,23,12,35,27,20,22,63,17,26,13,16,27,12,19,32,33,26,32,15,16,23,46,17,33,15,10,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-26T15:04:39.284Z"}},{"type":"Public","name":"apicula","owner":"YosysHQ","isFork":false,"description":"Project Apicula 🐝: bitstream documentation for Gowin FPGAs","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":5,"issueCount":11,"starsCount":472,"forksCount":66,"license":"MIT License","participation":[0,0,0,0,1,0,2,0,0,2,0,1,3,1,0,0,14,3,4,0,0,0,0,0,2,3,1,1,0,0,1,0,1,8,0,0,0,5,15,6,1,9,7,1,6,8,3,4,4,5,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T15:56:57.349Z"}},{"type":"Public","name":"nextpnr-tests","owner":"YosysHQ","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":4,"forksCount":5,"license":"ISC License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T11:44:17.226Z"}},{"type":"Public","name":"eqy","owner":"YosysHQ","isFork":false,"description":"Equivalence checking with Yosys","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":9,"starsCount":29,"forksCount":5,"license":"Other","participation":[0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,6,3,0,0,0,0,0,0,1,0,4,7,1,0,3,0,0,0,0,0,0,0,0,2,0,0,0,0,0,1,0,0,1,1,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-16T15:39:31.131Z"}},{"type":"Public","name":"abc","owner":"YosysHQ","isFork":true,"description":"ABC: System for Sequential Logic Synthesis and Formal Verification","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":2,"issueCount":0,"starsCount":26,"forksCount":581,"license":"Other","participation":[1,1,0,8,1,3,13,9,1,1,2,4,0,0,4,10,1,3,10,1,5,10,20,3,17,4,3,16,7,8,11,10,11,10,3,0,1,3,2,0,4,1,9,19,13,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-13T11:27:19.260Z"}},{"type":"Public","name":"mcy","owner":"YosysHQ","isFork":false,"description":"Mutation Cover with Yosys (MCY)","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":1,"starsCount":76,"forksCount":9,"license":"ISC License","participation":[0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,1,1,1,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-03T11:32:56.198Z"}},{"type":"Public","name":"yosys-web","owner":"YosysHQ","isFork":false,"description":"Yosys Web Page","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":1,"issueCount":1,"starsCount":3,"forksCount":6,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-19T17:11:00.872Z"}},{"type":"Public","name":"mau","owner":"YosysHQ","isFork":false,"description":"Modular Application Utilities","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":4,"forksCount":3,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-12T09:00:16.689Z"}},{"type":"Public","name":"yosyshq.github.io","owner":"YosysHQ","isFork":false,"description":"www.yosyshq.net","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":1,"issueCount":0,"starsCount":4,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-12T08:54:22.868Z"}},{"type":"Public","name":"icestorm","owner":"YosysHQ","isFork":false,"description":"Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered)","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":17,"issueCount":38,"starsCount":983,"forksCount":224,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-26T21:06:38.463Z"}},{"type":"Public","name":"picorv32","owner":"YosysHQ","isFork":false,"description":"PicoRV32 - A Size-Optimized RISC-V CPU","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":11,"issueCount":57,"starsCount":3074,"forksCount":748,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-27T08:36:08.610Z"}},{"type":"Public","name":"furo-ys","owner":"YosysHQ","isFork":true,"description":"A clean customizable documentation theme for Sphinx","allTopics":[],"primaryLanguage":{"name":"Sass","color":"#a53b70"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":314,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-11T01:50:23.368Z"}},{"type":"Public","name":"riscv-formal","owner":"YosysHQ","isFork":false,"description":"RISC-V Formal Verification Framework","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":2,"issueCount":4,"starsCount":97,"forksCount":22,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-09T05:40:44.752Z"}},{"type":"Public","name":"VlogHammer","owner":"YosysHQ","isFork":false,"description":"A Verilog Synthesis Regression Test","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":2,"issueCount":0,"starsCount":33,"forksCount":8,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-21T12:11:32.580Z"}},{"type":"Public","name":"setup-oss-cad-suite","owner":"YosysHQ","isFork":false,"description":"Set up your GitHub Actions workflow with a OSS CAD Suite","allTopics":[],"primaryLanguage":{"name":"TypeScript","color":"#3178c6"},"pullRequestCount":0,"issueCount":3,"starsCount":14,"forksCount":2,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-21T12:10:02.281Z"}},{"type":"Public","name":"sby-gui","owner":"YosysHQ","isFork":false,"description":"GUI for SymbiYosys","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":7,"starsCount":12,"forksCount":4,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-21T12:01:25.222Z"}},{"type":"Public","name":"prjtrellis","owner":"YosysHQ","isFork":false,"description":"Documenting the Lattice ECP5 bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":12,"issueCount":32,"starsCount":398,"forksCount":86,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-29T14:43:47.460Z"}},{"type":"Public","name":"scy","owner":"YosysHQ","isFork":false,"description":"Sequence of Covers with Yosys","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":1,"starsCount":5,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-29T08:09:59.004Z"}},{"type":"Public","name":"prjtrellis-db","owner":"YosysHQ","isFork":false,"description":"Project Trellis database","allTopics":[],"primaryLanguage":null,"pullRequestCount":1,"issueCount":0,"starsCount":12,"forksCount":10,"license":"Creative Commons Zero v1.0 Universal","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-04T13:10:35.059Z"}},{"type":"Public","name":"padring","owner":"YosysHQ","isFork":false,"description":"A padring generator for ASICs","allTopics":["asic","eda","chip","vlsi","yosys"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":2,"starsCount":22,"forksCount":8,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-17T15:44:06.174Z"}},{"type":"Public","name":"nerv","owner":"YosysHQ","isFork":false,"description":"Naive Educational RISC V processor","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":1,"issueCount":1,"starsCount":69,"forksCount":12,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-04-26T22:29:56.191Z"}},{"type":"Public","name":".github","owner":"YosysHQ","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-04-04T09:41:57.886Z"}},{"type":"Public archive","name":"yosys-manual-build","owner":"YosysHQ","isFork":false,"description":"Yosys manual","allTopics":[],"primaryLanguage":{"name":"Dockerfile","color":"#384d54"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-24T05:45:45.514Z"}},{"type":"Public","name":"yosys-tests","owner":"YosysHQ","isFork":false,"description":"Collection of test cases for Yosys","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":1,"starsCount":17,"forksCount":7,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-01-04T16:41:49.335Z"}},{"type":"Public archive","name":"fpga-toolchain","owner":"YosysHQ","isFork":false,"description":"Multi-platform nightly builds of open source FPGA tools","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":2,"issueCount":21,"starsCount":289,"forksCount":26,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-11-03T23:08:09.655Z"}},{"type":"Public","name":"pyosys-tests","owner":"YosysHQ","isFork":false,"description":"pyosys tests","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":4,"forksCount":2,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-11-27T08:56:42.805Z"}}],"repositoryCount":38,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"YosysHQ repositories"}