From 033bb1b08f2675b9f65f1effddf371b9c95fc4e4 Mon Sep 17 00:00:00 2001 From: Luca Colagrande Date: Tue, 10 Oct 2023 12:26:33 +0200 Subject: [PATCH] axi_dw_downsizer: Modify testbench to replicate error --- test/tb_axi_dw_downsizer.sv | 16 ++++++++++------ 1 file changed, 10 insertions(+), 6 deletions(-) diff --git a/test/tb_axi_dw_downsizer.sv b/test/tb_axi_dw_downsizer.sv index 1edd3b16f..9852b6b17 100644 --- a/test/tb_axi_dw_downsizer.sv +++ b/test/tb_axi_dw_downsizer.sv @@ -74,10 +74,11 @@ module tb_axi_dw_downsizer #( .UW (TbAxiUserWidth ), .TA (TbApplTime ), .TT (TbTestTime ), - .MAX_READ_TXNS (8 ), - .MAX_WRITE_TXNS (8 ), - .AXI_BURST_FIXED(1'b0 ), - .AXI_ATOPS (1'b1 ) + .MAX_READ_TXNS (8 ), + .MAX_WRITE_TXNS (128 ), + .AXI_BURST_FIXED(1'b0 ), + .AXI_ATOPS (1'b1 ), + .AX_MAX_WAIT_CYCLES(5) ) master_drv = new (master_dv); // Slave port @@ -104,7 +105,9 @@ module tb_axi_dw_downsizer #( .IW(TbAxiIdWidth ), .UW(TbAxiUserWidth ), .TA(TbApplTime ), - .TT(TbTestTime ) + .TT(TbTestTime ), + .RESP_MIN_WAIT_CYCLES(100), + .RESP_MAX_WAIT_CYCLES(1000) ) slave_drv = new (slave_dv); `AXI_ASSIGN(slave_dv, slave) @@ -145,7 +148,8 @@ module tb_axi_dw_downsizer #( fork // Act as a sink slave_drv.run() ; - master_drv.run(200, 200); + master_drv.run(1, 4096); + // master_drv.run(200, 200); join_any // Done