From 5a8213072408a783f4e14e65f65b4802112b5473 Mon Sep 17 00:00:00 2001 From: Karl Nilsson Date: Thu, 17 Oct 2024 13:17:04 +0100 Subject: [PATCH] dial --- src/ra_mt.erl | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/ra_mt.erl b/src/ra_mt.erl index d0e27f4b..bda60c7a 100644 --- a/src/ra_mt.erl +++ b/src/ra_mt.erl @@ -251,6 +251,8 @@ delete(Spec, #?MODULE{prev = #?MODULE{} = Prev}) -> %% no match on tid try prev delete(Spec, Prev). +-spec range_overlap(ra:range(), state()) -> + {Overlap :: ra:range(), Remainder :: ra:range()}. range_overlap(ReqRange, #?MODULE{} = State) -> %% TODO: assert the ReqReange never goes above Range? Range = range(State),