-
Notifications
You must be signed in to change notification settings - Fork 1
/
seven-segment-constraints.xdc
28 lines (28 loc) · 1.79 KB
/
seven-segment-constraints.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
# Clock signal
set_property PACKAGE_PIN W5 [get_ports clock]
set_property IOSTANDARD LVCMOS33 [get_ports clock]
set_property PACKAGE_PIN R2 [get_ports reset]
set_property IOSTANDARD LVCMOS33 [get_ports reset]
# Seven segment LED display
set_property PACKAGE_PIN W7 [get_ports {LED_segment[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[6]}]
set_property PACKAGE_PIN W6 [get_ports {LED_segment[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[5]}]
set_property PACKAGE_PIN U8 [get_ports {LED_segment[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[4]}]
set_property PACKAGE_PIN V8 [get_ports {LED_segment[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[3]}]
set_property PACKAGE_PIN U5 [get_ports {LED_segment[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[2]}]
set_property PACKAGE_PIN V5 [get_ports {LED_segment[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[1]}]
set_property PACKAGE_PIN U7 [get_ports {LED_segment[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_segment[0]}]
set_property PACKAGE_PIN U2 [get_ports {anode_activation[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {anode_activation[0]}]
set_property PACKAGE_PIN U4 [get_ports {anode_activation[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {anode_activation[1]}]
set_property PACKAGE_PIN V4 [get_ports {anode_activation[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {anode_activation[2]}]
set_property PACKAGE_PIN W4 [get_ports {anode_activation[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {anode_activation[3]}]