Skip to content
This repository has been archived by the owner on Apr 27, 2023. It is now read-only.

Commit

Permalink
Increase size of FSBL rom module
Browse files Browse the repository at this point in the history
  • Loading branch information
ben-marshall committed Sep 5, 2019
1 parent 56cce8d commit 239fdb0
Showing 1 changed file with 6 additions and 3 deletions.
9 changes: 6 additions & 3 deletions rtl/fsbl_rom/fsbl_rom.v
Original file line number Diff line number Diff line change
Expand Up @@ -19,12 +19,15 @@ output reg [31:0] mem_rdata

parameter MEMFILE = "fsbl.hex";

wire [7:0] idx = {mem_addr[7:2],2'b00};
parameter MEMSIZE = 1024;

reg [7:0] romdata [255:0];
wire [9:0] idx = {mem_addr[9:2],2'b00};

reg [7:0] romdata [MEMSIZE-1:0];

initial begin
$display("LOAD MEM FILE", MEMFILE);
$display("LOAD MEM FILE");
$display(MEMFILE);
$readmemh(MEMFILE,romdata);
end

Expand Down

0 comments on commit 239fdb0

Please sign in to comment.