From 239fdb01bb13a1b49248cafcbee01c9ce79fab5d Mon Sep 17 00:00:00 2001 From: Ben Marshall Date: Thu, 5 Sep 2019 13:43:43 +0100 Subject: [PATCH] Increase size of FSBL rom module --- rtl/fsbl_rom/fsbl_rom.v | 9 ++++++--- 1 file changed, 6 insertions(+), 3 deletions(-) diff --git a/rtl/fsbl_rom/fsbl_rom.v b/rtl/fsbl_rom/fsbl_rom.v index e9bbfe4..2031447 100644 --- a/rtl/fsbl_rom/fsbl_rom.v +++ b/rtl/fsbl_rom/fsbl_rom.v @@ -19,12 +19,15 @@ output reg [31:0] mem_rdata parameter MEMFILE = "fsbl.hex"; -wire [7:0] idx = {mem_addr[7:2],2'b00}; +parameter MEMSIZE = 1024; -reg [7:0] romdata [255:0]; +wire [9:0] idx = {mem_addr[9:2],2'b00}; + +reg [7:0] romdata [MEMSIZE-1:0]; initial begin - $display("LOAD MEM FILE", MEMFILE); + $display("LOAD MEM FILE"); + $display(MEMFILE); $readmemh(MEMFILE,romdata); end