Skip to content

Personal playground for learning Verilog and FPGAs

License

Notifications You must be signed in to change notification settings

serjzimmerman/tang-nano-9k-projects

Repository files navigation

tang-nano-9k-projects

This is a personal playground for learning Verilog and FPGAs. All of the recepies are packages as a nix flake, which makes it possible to open a dev shell and compile the bitstream with a single command.

Quick start

Install nix via official installation guide. Skip this if you already have nix installed one way or another.

Please make sure that you have nix flakes enabled. Enter the shell:

nix develop .

Build an example project and flash it to the board:

nix build .\#blinky
sudo openFPGALoader --detect
sudo openFPGALoader result/blinky.fs -b tangnano9k

If you do not want to use nix, then here's an approximate list of required tools:

  • apicula - Bitstreams for Gowin FPGAs.
  • yosys - RTL synthesis.
  • nextpnr - Place & Route tool with support for Gowin LittleBee chips.
  • openfpgaloader - For flashing bitstreams to the board.

List of projects