From ad54a7ab6120b8ae96ea2ca20fcbd7622bc4e8be Mon Sep 17 00:00:00 2001 From: Ethan Sifferman Date: Mon, 18 Sep 2023 17:18:40 -0700 Subject: [PATCH] chapter 3 --- .github/workflows/code.yml | 39 ++++++++++++++++++++++++ code/c-like/Makefile | 10 +++++++ code/c-like/high.svh | 10 +++++++ code/c-like/low.svh | 18 +++++++++++ code/c-like/tb.sv | 48 ++++++++++++++++++++++++++++++ code/cache_lab/Makefile | 12 ++++++++ code/cache_lab/cache.svh | 21 +++++++++++++ code/digitaljs_online.sv | 30 +++++++++++++++++++ code/opt.svh | 7 +++++ figures/c-like.tex | 26 ++++++++++++++++ figures/cache_lab.tex | 7 +++++ figures/dc_vs_synplify.tex | 2 +- figures/digitaljs_online.pdf | Bin 0 -> 84690 bytes figures/digitaljs_online.tex | 7 +++++ figures/opt.tex | 34 +++++++++++++++++++++ figures/opt/vivado.pdf | Bin 0 -> 16005 bytes figures/opt/yosys_noopt.pdf | Bin 0 -> 49917 bytes figures/opt/yosys_opt.pdf | Bin 0 -> 29919 bytes tex/chapters/3_digital_design.tex | 26 ++++++++++++---- tex/thesis.bib | 29 ++++++++++++++++-- tex/thesis.tex | 4 +-- 21 files changed, 319 insertions(+), 11 deletions(-) create mode 100644 .github/workflows/code.yml create mode 100644 code/c-like/Makefile create mode 100644 code/c-like/high.svh create mode 100644 code/c-like/low.svh create mode 100644 code/c-like/tb.sv create mode 100644 code/cache_lab/Makefile create mode 100644 code/cache_lab/cache.svh create mode 100644 code/digitaljs_online.sv create mode 100644 code/opt.svh create mode 100644 figures/c-like.tex create mode 100644 figures/cache_lab.tex create mode 100644 figures/digitaljs_online.pdf create mode 100644 figures/digitaljs_online.tex create mode 100644 figures/opt.tex create mode 100644 figures/opt/vivado.pdf create mode 100644 figures/opt/yosys_noopt.pdf create mode 100644 figures/opt/yosys_opt.pdf diff --git a/.github/workflows/code.yml b/.github/workflows/code.yml new file mode 100644 index 0000000..083271e --- /dev/null +++ b/.github/workflows/code.yml @@ -0,0 +1,39 @@ +name: Test Code Examples + +on: [push] + +jobs: + Verify-Solution: + runs-on: ubuntu-latest + steps: + - uses: actions/checkout@v3 + - name: Set up Python 3.x + uses: actions/setup-python@v4 + with: + python-version: '3.x' + - name: Download FuseSoC + run: | + python -m pip install --upgrade pip + pip install fusesoc + fusesoc --version + - name: Install gcc-10 + run: | + sudo apt update + sudo apt install -y build-essential + sudo apt install -y gcc-10 g++-10 cpp-10 + sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-10 100 --slave /usr/bin/g++ g++ /usr/bin/g++-10 --slave /usr/bin/gcov gcov /usr/bin/gcov-10 + gcc --version + - name: Download OSS + run: | + curl -JOL https://github.com/YosysHQ/oss-cad-suite-build/releases/download/2023-05-23/oss-cad-suite-linux-x64-20230523.tgz + tar -xzvf oss-cad-suite-linux-x64-20230523.tgz -C ./ + rm -rf oss-cad-suite-linux-x64-20230523.tgz + echo "$GITHUB_WORKSPACE/oss-cad-suite/bin" >> $GITHUB_PATH + - name: Test C-like + run: | + cd $GITHUB_WORKSPACE/code/c-like + make + - name: Test Cache Lab + run: | + cd $GITHUB_WORKSPACE/code/cache_lab + make diff --git a/code/c-like/Makefile b/code/c-like/Makefile new file mode 100644 index 0000000..b6b562d --- /dev/null +++ b/code/c-like/Makefile @@ -0,0 +1,10 @@ + +all: clean verilator + +obj_dir/Vtb: tb.sv high.svh low.svh + verilator tb.sv --binary -Wall -Wno-fatal --top tb +verilator: obj_dir/Vtb + ./obj_dir/Vtb + +clean: + rm -rf obj_dir Vtb.vvp build diff --git a/code/c-like/high.svh b/code/c-like/high.svh new file mode 100644 index 0000000..0c9e8b6 --- /dev/null +++ b/code/c-like/high.svh @@ -0,0 +1,10 @@ + +function automatic logic [4:0] find_first_set32(logic [31:0] in); + logic [4:0] out = 0; + for (integer i = 1; i < 32; i++) + if (in[i]) + out = i; + return out; +endfunction + +assign out = find_first_set32(in); diff --git a/code/c-like/low.svh b/code/c-like/low.svh new file mode 100644 index 0000000..1aa4904 --- /dev/null +++ b/code/c-like/low.svh @@ -0,0 +1,18 @@ + +assign out = + in[31] ? 31 : in[30] ? 30 : + in[29] ? 29 : in[28] ? 28 : + in[27] ? 27 : in[26] ? 26 : + in[25] ? 25 : in[24] ? 24 : + in[23] ? 23 : in[22] ? 22 : + in[21] ? 21 : in[20] ? 20 : + in[19] ? 19 : in[18] ? 18 : + in[17] ? 17 : in[16] ? 16 : + in[15] ? 15 : in[14] ? 14 : + in[13] ? 13 : in[12] ? 12 : + in[11] ? 11 : in[10] ? 10 : + in[ 9] ? 9 : in[ 8] ? 8 : + in[ 7] ? 7 : in[ 6] ? 6 : + in[ 5] ? 5 : in[ 4] ? 4 : + in[ 3] ? 3 : in[ 2] ? 2 : + in[ 1] ? 1 : 0; diff --git a/code/c-like/tb.sv b/code/c-like/tb.sv new file mode 100644 index 0000000..7147577 --- /dev/null +++ b/code/c-like/tb.sv @@ -0,0 +1,48 @@ +module find_first_set32 #( + parameter string IMPLEMENTATION +) ( + input logic [31:0] in, + output logic [4:0] out +); + +if (IMPLEMENTATION == "LOW") begin : low + `include "low.svh" +end else if (IMPLEMENTATION == "HIGH") begin : high + `include "high.svh" +end else begin : bad + initial begin + $error("Expected valid values for IMPLEMENTATION are \"LOW\" or \"HIGH\". Received \"%s\".", IMPLEMENTATION); + $finish; + end +end + +endmodule + +module tb; + +logic clk = 0; +always #1 clk <= ~clk; + +logic [31:0] value; + +find_first_set32 #(.IMPLEMENTATION("LOW")) l (.in(value)); +find_first_set32 #(.IMPLEMENTATION("HIGH")) h (.in(value)); + +always @(negedge clk) begin + if (l.out !== h.out) begin + $error("Not equivalent for in=%0h: l=%d h=%d", value, l.out, h.out); + $finish; + end +end + +integer i; +initial begin + for (i = 0; i < 100000; i++) begin + value = $urandom(); + @(posedge clk); + end + $display("All equal."); + $finish; +end + +endmodule diff --git a/code/cache_lab/Makefile b/code/cache_lab/Makefile new file mode 100644 index 0000000..1cf13ee --- /dev/null +++ b/code/cache_lab/Makefile @@ -0,0 +1,12 @@ + +all: clean verify + +verify: build/cache.svh + diff cache.svh build/cache.svh + +build/cache.svh: + mkdir -p build + curl -s "https://raw.githubusercontent.com/sifferman/labs-with-cva6/2b788a9511ce8e0282f4ee5a8cbae2135eb0c540/labs/caching/part2/starter/ucsbece154b_victim_cache.sv" | sed -n "148,168p" > $@ + +clean: + rm -rf build diff --git a/code/cache_lab/cache.svh b/code/cache_lab/cache.svh new file mode 100644 index 0000000..8886a55 --- /dev/null +++ b/code/cache_lab/cache.svh @@ -0,0 +1,21 @@ +// DLL Structure // +// MRU - ... - way.mru - way - way.lru - ... - LRU // + +typedef logic [$clog2(NR_ENTRIES)-1:0] way_index_t; + +struct packed { + logic [TAG_SIZE-1:0] tag; + way_index_t lru; // less recently used + way_index_t mru; // more recently used + logic valid; +} dll_d[NR_ENTRIES], dll_q[NR_ENTRIES]; + +// lru register +way_index_t lru_d, lru_q, mru_d, mru_q; + +// index to bump +way_index_t read_index, write_index; + + +// separate the data from the dll help with optimization +logic [LINE_WIDTH-1:0] data_d[NR_ENTRIES], data_q[NR_ENTRIES]; diff --git a/code/digitaljs_online.sv b/code/digitaljs_online.sv new file mode 100644 index 0000000..7c14263 --- /dev/null +++ b/code/digitaljs_online.sv @@ -0,0 +1,30 @@ +module piso #( + parameter [7:0] DATA_WIDTH = 16 +) ( + input logic clk, + input logic rst_n, + input logic load_i, + input logic [DATA_WIDTH-1:0] loaddata_i, + output logic serial_o +); + +logic [DATA_WIDTH-1:0] data_d, data_q; + +always_comb begin + if (load_i) + data_d = loaddata_i; + else + data_d = (data_q >> 1); +end + +always_ff @(posedge clk or negedge rst_n) begin + if (!rst_n) begin + data_q <= '0; + end else begin + data_q <= data_d; + end +end + +assign serial_o = data_q[0]; + +endmodule diff --git a/code/opt.svh b/code/opt.svh new file mode 100644 index 0000000..65929df --- /dev/null +++ b/code/opt.svh @@ -0,0 +1,7 @@ +wire [2:0] a; +always_comb begin + out = 0; + for (integer i = 0; i < 3; i++) + if (a[i]) + out = 1; +end diff --git a/figures/c-like.tex b/figures/c-like.tex new file mode 100644 index 0000000..33f059a --- /dev/null +++ b/figures/c-like.tex @@ -0,0 +1,26 @@ + +\begin{figure}[t] + \centering + + \subfloat[ + Using purely structural constructs to create MUXes can provide long and superfluous code. + ]{ + \begin{minipage}{0.8\textwidth} + \footnotesize + \inputminted[frame=single]{systemverilog}{code/c-like/low.svh} + \end{minipage} + } + + \subfloat[ + Using C-like constructs such as a \mintinline{systemverilog}{function}, \mintinline{systemverilog}{if} statement, and \mintinline{systemverilog}{for} loop can provide much cleaner code. + ]{ + \begin{minipage}{0.8\textwidth} + \footnotesize + \inputminted[frame=single]{systemverilog}{code/c-like/high.svh} + \end{minipage} + } + + \caption{Comparison of purely structural Verilog versus C-like Verilog. To demonstrate this comparison, provided are two different implementations of the Find First Set operation.} + \label{fig:c-like} + +\end{figure} diff --git a/figures/cache_lab.tex b/figures/cache_lab.tex new file mode 100644 index 0000000..33ba705 --- /dev/null +++ b/figures/cache_lab.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \inputminted[frame=single]{systemverilog}{code/cache_lab/cache.svh} + \caption{Snippet of ``Labs with CVA6'' cache lab starter code \cite{labsWithCVA6}} + \label{fig:cache_lab} +\end{figure} diff --git a/figures/dc_vs_synplify.tex b/figures/dc_vs_synplify.tex index d067a73..c1995a5 100644 --- a/figures/dc_vs_synplify.tex +++ b/figures/dc_vs_synplify.tex @@ -2,6 +2,6 @@ \begin{figure}[t] \centering \frame{\includegraphics[width=\linewidth]{figures/dc_vs_synplify.pdf}} - \caption{Differences in SystemVerilog Support in DC vs. Synplify-Pro from ``Synthesizing SystemVerilog: Busting the Myth that SystemVerilog is only for Verification''\cite{sutherland}} + \caption{Differences in SystemVerilog Support in DC vs. Synplify-Pro from ``Synthesizing SystemVerilog: Busting the Myth that SystemVerilog is only for Verification'' \cite{sutherland}} \label{fig:dc_vs_synplify} \end{figure} diff --git a/figures/digitaljs_online.pdf b/figures/digitaljs_online.pdf new file mode 100644 index 0000000000000000000000000000000000000000..14b9e63f606cae1a6f1fd4ad5a78200f65402cd4 GIT binary patch literal 84690 zcmce+1ym&6mZpunyOX%PySrNz?uom*Q@Fbn?oc>|ySr1kLji@mGxbUL+&g`{r|17? z%~~hpWJH{ZwfDPsoP8qmp->i=WME)IRM;j+>G2@02US=7Cj~@Cwo_i-^PfkF^L1+EKPu_ zQld;U02e1$Ad?!Cx|5NevxAWn(9Xn@N!`-{_}6$rK_&&Dsio0>ep}@~u5khUX)2Q{ z(AnPA$prY@q(5C{Qu}>-6PLfn{65J1*R7R}%z=V}h(J5jKLq;I`oEQuw6t*nIx$Jw z7`Xt&fhP8*Kqh&howp^q-_TUqk}%>K@52{TGOqh(0}uBy#7_A{|4aMcQD)M^EQI6YqlOaIq`D83%26w z`N*MtOjDIPyMNeNJ8#%nL+YKa5Tp$2M(A|5dpI|HDBbv_cy{T3(X}qnPUL1FNH6G3 zm)>y}GtXCOODwjE*x5w0hX=ymf&+?I_@lR%*iv~kj!#q6aZTW*=5x)*_m`u>jfSm{ zljdA73S$3`m;HGI*Y5YXnv(AFdoEm4`$EpC9((^sh2!dGy9f8jJNL_vZa1cPusFo_ zw6Az>-CLa-G`qMT_>aZvH5*e;n_p_%w{VpjWnSzahBwSNP6^Syb5(suPXt@8_{dfR zFmw6C-e0J%`?`xve&AjeATp%P*ALQJ*j0<|nhq2>l z-B0JGQhldx`S6ayCoxM9$wXWKeM%o*(WCE88iN3Lo!;i1y5IvF_02*{2vDcsWXqN1 z)Eh3YDw&Zd@NSd>_x=jIjIu_9ExnxS7DU1pc@T>{T@8hj78ns~kYm!(^8CEmpG76B zcZd9Ov(Gf`AJ%{f2L~RZO#^Rv2jX>rU~XLfnFCWz*vuE|=)MA(Mja)50ADpQ5_Z5m zkP@WGPnj2-H-J0c6PY^WSvf!it^ll0Q9hU_-7nxr(L=Q;2&x*jZBIe#^tB?9xuo{$ z0+W_J6s)T|W};Ml!XZiQvZxoQ5yr~9=@;q>=j@y2n{xk~=Qz?8>cp{hmP>0T-=NWxx=Ls^;JSS@Kp2>hMI+)-RG&M8GCJVz*YR{nJP`lbL2wf>E?Yd9G z2g-pOSeVKs-G)0d4C$v z+c>Q@=w27-X!|%ke}0=(s%H!Gu(-_Kctt)ENSh~z@-caz2uvk||GfyI!t zEFRhmKjV%*MjyzQ4>=Y&rHVC$h2*PlCKPW1?U2ly@kZWTusF2s|i2v}QMYRe=O~F9ZrdC4H!$D{Q8|_zxA)5_^hCqUrP?*Tf4;8@)Nr?u2l}=^< zqOR7U2x3nd3OI#SAE%y!b$FM?sFhanA?24edW8U%7`QCSZbN*Bmb;o}BRyihml;ID z>_d)V*Xun6*7dk9fQZTNi~JJEz-wgY&buUgky7`= zN$c%q$+|xRuLD&~%VEomb`<8oLA!>-K(@H;?Awu&9lH|IO$#g;PbKn}*9H|Km55}K zPp&5WRtSO=YY31Mre^lyEkM8^|2BFPchB^lQX#m~|LZCq2 zFT*ZB18+KFm=3vZ6t4v*m9)Jz;}GE?5q%@bdh?aa&s#xA!ig;}GJyaUifV6gvNkiX zslY>k{4=!*@yImy9OOO|1!@RvBTNxQq-fuDk@itA`xD)*O_5rj9w8n1cTw_O_K@(l z$a_WET=I)ZQw*dOg3zGNsO-LS($A$HD*I!c4(Cx=wIU| zG#$%k!Nc$-WKBIvS4v(#Y8QCgw}~F;v}I{?H8f))XA?PA*0_SX2Y7@0<^h5 z$1qSbf=tJ-iKkDp1^E=t#b@S9)j`CP69Qgz6w+w~Xy1xivj9?qXVWSp>wM z4+z^7g@mhO-g>ipIhFCYw+O2NNmE53XbK7d@DmaTVOZ2cEGWBd7I-egcBmdTG+e?G zp{cm4obtOyqJ%NzXqn^|ODKsnt1#Lrl#wh3i~Csd^MQNihm(+nSm^x9`w=)5(x5zk z`5**z=H!?r&{MGFG87K9;7>gv`FZuuIl&^^(MCNhpyKi|9U~wPGU*OrNgmfeO3w&3 z(ge2jQ*?PEG7(2_fI2ia%k55=A0qSOV*=<_q|&qK(=335sl;UrDdK)dIR69cvAWq= z6lMM?ha>V>BOfJ<>MN=M(5+505nfdXps1N`Q3r&VFswudR$hiC!W2^5VwGk`oDsW^ z`UP5;Oy9351wieAk$%*;NVR*)d{8c6G$1e>Qy?)Wa~1G0iRz&>SU!Nm*j$Ec0JM{)Mj$&ap>E_dP_{Pc?^|O zCZMv$Gc`KWRha3Vj$jSBJJxCDl9pVo3x2J zGl6b5DikKs1&p8?#9K}!IUBTS-L+hRsGZzzpfDtj z&FOxI^z+>9%-=J`Jw@fgPyk%wVr1>mDh8GuoOGLot5qQNp3L>e{J>M)4s_!-D|=_K zAA78JK;`(1776@tj%Q3M&Zj-yh4Q=-O{EVZ>>qbul>G_Du~P~#>dx6eexG>>;+1)V zKO{>(w0M;JW~;>=`vUAmRPz(MMC*Hub5_~%ao_E>?Vz(_SgKf_Ax-Vw8)%Ou2K}6% znxwQ5h);@(Sz1J*PR{acoi;TMbnqH3U_(-pQjy|8qo5dtEizRN4EccXm)6~y~4F>xl^R9XEN>q0YgrO8~_?W}cjv)RxDY-s-s8D*kx zhC6ULlZ|;)uww)eYE+(b`h7|<$AqNdIlry@l? zl$lZ`rhz)7F)I8T9+Nq*Q7ysvypcMPovs^K`Dya3$~1^!emyblH3zMO2VAHx8lCl$ zm}vSkI-vsA&X+WNLoyS~2|uB~u^I=Y8L!e@*yIju1ZrqRRwOU_>pU`vS+buztgeC( z!l#p7v;u87G^?_FMEO1=U#w!wnWKU*f2;~{eC0~KgkFEo1q`OjpXPg2gMizOdJOxB zOJ@~3Iz*B!1=U4LKosH1zK?@pZ%XZMjwXRv+U$&V!eL#t7YLSds0t4JIF>Wrp=#_;p+*&i)s>yU|9-p zEo8UvkD3J^)e5#Aa->255pEtRiwhX6&DKMjb!H%+I<4MdBUrl@a%I`Vej<$Q@HA5d znc1M}OZ^e5j`Mr4YQCC<+y(5c&Jh*$7iYv9>O=k~{@No`)7jDMg84D@>J8gt2IBH~ z-zv_h+4tuao;$H=>lF$(t7$E;GftW{R%8SW>@$lbIxJjtTik=$OsB`k_sMblbGw}6 z(8xho>L2*&3fuJ`q>Q{K$}HdLl@P+ms`H-HqDzNuf>~ozCZu;NEHyV^VLVjW|Aa(O+U=!6P1F&;UN}BK{8SswPJrFm zH;DpXJypH^^xz!ce+QI1N<~F39VH}m?1LP~O!i-4HiP$lGMRh7O`GakNd)W%ExqTl)ajF&K?O+N@}e#{50%2+tP|D{&N5&M(6z$l`$7be89bWdksZI zTSpUBXti(r*TWC&Rk69#B;?!6!>k7z6f5rO#Lo^w>mA;%z7J1X^YT?gXfee-;&WLP z7D}-<%RM%9s$R`OM?XuShrjSwE=Nq(v63mx(q<1y)E8qm;=jsHwx?bBpfsz#{ebKJ z*^)xps@>bs`)$TkXiEMw%GZ=kUS%)29c##&h}QBb&76RheY`1#QJS3l+o}gTT4B3` zgK4+hEh?qS#kJhrQ)4_Gm@gXv84oVL4-dcm`F*wvX~4yOQr(&g%^V_ zJXZ8N+XNfJ6RKS%rs5B#>2VkcoYg;SHDZ{Kq(!HUKlzdKh7DE(@%(Q zSe9g~3W=*8rV#nn0V$;$MuFtE{Cs*VR{U(tY~)R!*jVk)i8wK+LUPrsg~AWTuAE!L z46;jP`&NNIIvAZ96~y>2Yiz@t9(5^&bb*Wp$KAS==N;!d^(u?g7F=8XWX=}>cf<`GL5vdxRDa8-H9F_Qo~ecPvAzYOJ^5^g$c{W=a6dW6R0*Q0lIyI23yk7k=Y3-N*Kq+GbbpN} z33<TmR&cFBPXnsL3Y4E6>}VhtRxjWR;OkY*$tte`Pl(H^ z=&`u6xPQquSz(tSEI^*O_fotc6Ni0jgXZP@X+MmR{naZG#$ zHO`ZxWv-Du{Js6&4e&)FE&I&lkX1eEN7DC<#q23@h2vOtgxU_vFZ_iIY+5rDWHmDuZ5w>ivM`@aJF}VoT(?weisxF1f-f;eGnsAkc8-0k?uHXqjK!g(fd(A|jfunWPddWutHTGD~*3DyXR z1HBs2362Y7yQbo?TC1O1Sf6fy#OK!?Qm)^@v+)KoD?(&y_Qd;=yu` z3b;Cq6(uQg^aIP$99fZWi*9mMLc~8@naM%|T_9^~Ca_0X4(C@s_AO3P-NzQ`hZiq< zZnC|ywj!_TueZV`{L*s)mS7;~$O-!-APdeNyc1Na#FlO@v|sI`5}}Pz-o^roj$unN zBA{y8T+0l+V+ZDi+MTuT}ais*C?m^c<79_#hHPWK3f$LCC zDuFi_InI1RKP-W??4n!(?bZT9IElA$2Gm(_GDI2knQGhSyJv+D3QcsE1p=8mUSZtn zu7Prf2rd~>!m%^>!UOt)0iVrqqa=OfH$9;`B+tN{zarXnhiazNUrjQEYC?kn1W>}A zV;Sv&*kd4q1$(SIj4@f`ZT39YB3Z3YA)+ZEzuA&C->HWWez+k5q;u!K%i7JCqjcH+ ztPZ>^*4fqKWz3!>9N5(+D3y+}b_}$`p{pLe8noNhc51iQi{f{hXxcV$bMUx|E9`gm zP#cv`SM3y2<9Xn_;6bi}@Zx9vMmMkrZ1y->s;j(0iHP_$b};@8(6rANExa`1 zKf?Z`YBx;$QEp3XGK-H}uu_K|5MKCQ%W#s8bfFy%wa%OP$yj>^{#IKs?@PYE1e}oI z3}WE#8OiUkipRPl4jfr`b^Dedinb%fI*Wl6lro-v#vP)jkNL)m>H79OIGhRbP1@_% zEhHyN2M8CgPEt9tgzi-r8br?vuhOS%5_gcD4iYhX3&4h|pu@YNfUW!RFE0ch7#Or7 zbE|FoUau?WV6ug#vq2GcX+w=~Ag#6}%^F=)^`Z!tQFrUOSn|qgRS!P(%H`B6(RYba z+pcDhFlE@@f?a~sP>#rRn?IBX;_aGmGbog#)bMzq$)P=!AqWEi##zE($3&H2NC074 zVc(HY>>b}(!h;IU@tIwy-6nesqobQ__X{53^7#v_L9$8GIA7By*~J{D(cPRrlSDp+ zBvW+!QXL1H_ukwAJ+zaXkDxDETw0{-HX!rjRceo+h98#XvXI)IgzadBf~cT9rV>b? za01yGn;vlIVuNF!E4)JOpgAhB66=K;f-~uukkBcZb)(gUWVnIA@!Y#M>`yQM~ z6{DG4y0DbIG3u+z8XRHC(AW?-HuEN6%PF<+Cn~Sp7%VR=IbtrH$CXBaCz09SQXrmU z3F`=71dM4E_iH7eOn%JOF&N;Q&s;Zqtk}& zyKKbYKFvy#qnMgp{q>BQ#?5@kJ|R@O#vA0QS5yIW%`*T zG$98bWPUEI?NbxW-8YIw)D5D_FShK(!+nLeSo@byHz1a>E~diJed}e~&OvW2wWfkP z@!?M%cVD%XX!;Z?oZ!FD^G|`SSFc(~%#anwn-!uzfuef&>176W(L!Ji;rsSv&DQhI zq?EK8Jc~%Xkm*rVSGFdL_~f-%3nZY$vzu|)d-}!bF$?yI z0ga-Yj4wYF*>JQhGryR8iOKJ+)faNF^?}BJ7d&aZZNDWT6NZM4_X+BGh4>d?K}!}L zrQ}OegMOqq951KMcZA;MO;j#(s?!F$rive z`We8!Tb9S6l#_ObO7iz{^H+HBdBPhCZR6S2QNCg*JC>0Jc@o-ff(Ba3xN7-D*J6=;LZ+vJk z1M{0Ks*Kyl21_odcGBTp;X#)jIa%RmZLAA=k;B`>?Vncc|A(!o?D z*1D$^h4*m8uduxd5=QvU0;Vq$yT!=-`mG>HdDS~$dpNA^S$uzSE{LRgJe_V}mP-)_ zoE_2EpkdCYh4zz$p)dt+w;&M&tE*rwyjr!?SUH1b`fvxa6B9xg?Cl!IFzgBcK`E2H zee<-cZe)GgFgoIRrOc55wCfH+;qH{{d;hP{Pk*K6~HagH1etA68!{ zE_bj9S-)E?h-P}nSU6&PY`P`VCFN&B_JWSz+3t;d|zfewNZ9bekVeCgJ5CC4!p)`L%8e1XwHabe5*iCLq; zhXDl@DxKdKzz81|kE!Lgnpo6!Xs%UHSMw`D%dt7zxd8lZyD9*4ZnO@rNpbWf#|DC$DtbXOM?exfsd=YePL))m)x3&(=FfTkryLXrc7hXO`;dorDp?#y(|_UbbCTUeB)J{Ft#i!dHkvhzJKP#ZbD<_UX|A0EhL&t#HK(XkE|q_YS+_0Yc!8Pn}<^=H6zh8Dk*g0w{E{yYMfQnq_~r z)So-t&Hw(7RKTc@PG|CFlY5(Z6Jo<+UoUi9VY_jqq60`M6uf1eUD#$?pZ!vm6-Tv} zQ0f-wofu?oFoHs3!p$|(`JsDA4I@V~(CYv-uP&Oss5*d+(W(cBiP=|-Afh5m@;d~f z7_>Obqp1&6{Q#2;#52`k&#I19c7>t0zTNdJxu|ywf#)DtVNTc;3@@P#3|<{!nd`u% zXVE}SeTbL{L8gGlc|1#zqZ?YQBKvmtNwSW!nu3wDHVqBHBdSH!En6hv#~HA)V^^x! z|CMGw)gVh*Nsg)kRj+O2bwsn#5|N@eL`Rmd1yYm)+9K8U-eU^60fxhv;tS5E>W-<9 zy&#Wze=iS@|My_BpDu@P$_CUZTEE8Rr>u=2jkFl)L>c44Vc;Xz8OMQ;q;&dPBlWtU zp5qVdMZp=;FyRgkMsXyU9NtBD3->3=C2MU-9QRVAqz!mBDK^i}bQ|!vH&(c|;DTOK zpzZn3#7a6GCHnL(%(CMtd00f z;0wq0Oy;nX`lcB)rP}4t~1}%@P&} z(Vr4Jh)D~n&nCVjpmrT1qLU`BOJK=R2CilFT%UVD6n-TqmUSd1%TiqV1ZMflvM4}- z0|5jo_EAw79rlKmT|?6`rq6#`_gr;-95C8|0ww63Ze@>p!6i+i&sF7NjDo-l0p=WD zHN;H0PLj1XQ*u%l>6xiu_TA8ns2p0RSY?!A(wcg9$1{w-BpJ;}hOf*pZoph=dNvK> z^yN7c$Gx1JH-fT?Ur6bAQkt)p@*L-G5zfqLGxRQT|QvP6V{)SRSEnS?Iflgxfwhs1o zf50x>ztI~TdnYvqBNO1?FpZRxktfr?pjV`UmgW{N05)bOncuLElY{*qWXoUB&EH@P zJLexX50jdLk+U`6FVu%g&DGfD4+clZ_BSc>7c--&WNZaAabfywY5JRn;rK@ohR^xS z4gv}YEEG34+qN+X`~w^4zi{XesObNmLtOv4KYvn9|K`u1$kPAbA2#-XcIa>d7Yb?} z2aH;yR~SXPAFGcRq@>;;|3A9L`Uea3Z*KiXDKP_BIRLDjdjBdM|6d`fzhlMmzhX{* zp;-U!pQOE$?LUR03_t+`8OTCa`WI6CVch>J1vfkE z-~89VNY4MiYW#(r{ZHtN<8SEe@9h0A)mTiJw(4g_7`pI->l9X(Oa1u$!cz-P4dF(V ze~crOzwy#;mr4eka^DRfgL36XLtsN1kZR1new-rrlspc1Uy!!MC0xNPPnl$8e z=AM?!4gMKnVdkmBUTt3f*o#9?dK5yVyEM!AfrO|B(w|@GKf6$c*an|YQZb`85dDkL z{-N~$)6o7kDg8re|HSY9yNN#cFgh{*skTmK=E3#eMTJ?dwn5Fo$??$X&Q&svO)8a5 z8Kk$s1@cWCJoMI>u@r?-j7@;7wu~_yXkk}oZe?g@YFuGxRc&r$YHVqlkCHfEoLgd@ zZ=GjYhLSi`jA~+IT8*1Hc!1Z3IRXiCc}8^mFC_cJ;QyzR{Wb6XgJgeVoc}$^ienVu z`h*cAA9y4fXEIEA&&ZYAj;2Lx;f)x#O9~3|Hi@%oR0oO`06zP{4@8C!KVLAjpw1R9 zpfGH7PQMThm4BSwwv$b~@aGGl<>=2yY_GN)=+H;_wiQFvXhM=rRl*J7FSpJFC9q7* zprAHiftf(CPC**5B$kWoY83-qGvhfvZZ^h{2ei$*OaqlfIuqBvFn#Vwgagt-js+zz zvxRwO_4q?Tdw`D=X_fs)wg0SJ|EBi;S}s}sua?VyPQ?FSg=}{W4%~rKL;#u9M-2QI z*8f+<{{P(i|Drbjb4vWD#{Y9Ue6g{y@!4j}HUtTrE;9ysPD331k3Mkx18@A_S+M*; z|Ne`T@b`L!5NL2ruaTYybXy>5x+Gy~~sBLII#nRif-y3vbQZGVPqT-^?g+H|O$H&TEpGkV>$>9%wl=lxNy2&C{ zw~nV5Vkmw28IW{4yU05@c!lD&yLD5?u?Y$9Dm&97j&7o3&gnv!y2y@VS zFYQ_PC9d*zzZ0KZxL5{+*n{84$AfAY2H66Ye8o59_ih6lL=6xKe!q6X-05V)5P0VD z@7WpFLt_CT{C0P!1=2oHlq?LESSa8ijS8-5{~{QF6vDrQ@h={izt@GFe=T$W+GF|6 zEDoeh_PYgj&Qx6-qN&KzV+EZtik8^ z%$!ty1>=>ffr0TdY~;JD6AqbHhSc|f2}LtUTDB=F^r4rLhZJp1QPslIHmjeTlue6B zRRm{<@luoot=UhOE849BYXzt?Ev}ZBFN`!5+p0!=<0zD{%bL?&mEi3lbdq{XSxNK$ zF_s4HE%u`wdLMKvb&lbnoe;FsxNcFVF@R%DV9=-f=mGoFPqg4(RR+A*!NghaFDc*& z#+#;KqAcQ(dPL3oO1%6g5%X~wlfujRh!G7V;nA8RsHRHt0CZNPgRAh3&{rY>Jh^Os zVQ&ye?Yc~PVcYV?Pe|%$Z_k$BlT_A54QKQX?D;Sez66PA7hINCpa`7BPCWCBw_$uv zd#m5|duiLXy|<3CufJgI8ul}&BUu&rMv4;+l?3e;$*Y2}k1bzb?sTJ((!{YSp%!^$ zpx`Q^L6Lh5hk*%kEs-|=^|-_zOl!UEXtLd(KAC zhc@r7f9qbp!GoQepw9J3_pX8N{gFJ-uYaO}j){1)!>f4s*fI6}zEsI?Q~Cb*H3$Et z@Ru|FV0AsJ_{{TwOjp`gV10b_+gLKGphfkzc_#k#;pN%keMh)wf_G;tmvg13cMc@i zNIi#nY7|#2#e0=$mb`J;Y#F@H9c7Y1zRe9?GH}Htf(e-!ti@ORfZ2f$pW9y2j55Op z`I_Zim2iwXYY@pLYwINK%}9vKWYfkhae$Lj2B5w|i;HSguu&V>h3`$MT3_6sjM3Gf z2iMKLJHm=56V@;J^B~@W30ldur}vo`#<$!gZTh~LGHIKfj;`=Kn|z~#digHm7bTt( zvC?o<7NbH7n%E}bF3$X+p4nR+<=~&D6=E7FEN}9 zmb8r;1ZLiNY?c)R)%$A_!TC9~HMn&k$Zj-cf>YtAEgqQ-?Rc{AfLQ@uGmGDfN2k6i zdoodQk=n#}-VM!N@IgiQvMKW>(NW$b_8rix&x49QCF6D4gGhw<;8q`UEc1>o8OgzI z`PuA9P;_)u9JHn{^{kP7Nh$db8kosFouU=j5*+u!3Mrjq=k8BuKU-xet-A!y(#V2? z_a28Hk!Z$!NBhdH*K3;zO}Z)Mhz4S=%!#6%^(uo0L-hqNE&X@7{c(vU>^fu}@dj-+ z+z6#0()4S&V4>)FWK1Mi6{#}MS)Mx-(Q1J%iy?R%ZC0XbO4zd2NOP`e$OYLW#nGZ@ zK#aunh!Jx*dFrsd!BS}Iq<}}&(fl`56SR8fjV?C1sgp@kNd?{CZK$ZliBxjPmkd2k zrGN}4qa~WilKu*ntwlInJ=z5d&6?h_0Bko3+=U1&B{o4(+*oYp1>6M#@a`9{D6yK9 zL0O|VYJH2}4gwM0x1+WLB_#keMO-EmS$)zma;otP+!OfllQ?a4%o<*6ExP-Bw#T=M z-T6lA>3lsDYuj7D3(3|>=@5FP?1Sjfx15f!-~X99G^J=$<2Xx9TTh`&ahP}5y@-$p zDoTICrv8SKL<#jyGU*2FilRBlTy%J)k<+20zGwJj^P2c?IzG8r7mX0X^3-Q zdX*qWN4;8pNg8OX>VrgCzjkx*>L6I>ahExB`@7NPA7>EU%3CC3;UX3fLu5sD5{Abu zG-qj=BvG=X`|^E-qEOGz5a$hvs1Aq)8~7=2Q9|-D2vMY6;UdGDpkg8sTJn@$Kyx3^ zIi{fl?-p5UW;QlOKC+y8bL2&5l6W-DvBm z;@BbocwyZ~c=dTVx6{ML?rlE@Y#M(-aRVaASB)Erly?S4*e5ffg*{UVoit7ICiADl zck@P7l-ZjUQuA%vI@3+V_-NGNBy{Nz6N?}=l{%;7y5z6I*@oWO;oN)FDEf(FI$z^1Iv;eAtC+4-UN!7O zk04IfD>0mKV3%XyuUr-)Gaae)!)p55>p=Nnuu0QFgiv5r>`^28=Uw9zQ`@v;*`naAaet%ac z#*^4`g6~@k&I2d5Hhf)^G9v=Elc&!dBbNq*aPXtLx0>&--xn+E zE@nhd4Vo)HB1Z+YrDLYVFt6Ty-WXJSYxry#B~}DtmW?n7OX&<2 zw}IiYO4=|);X_(m4&0AHBvVBeBr!{RwU(4~*^3#;@{V{6C9^@2^X25gKJY7-`X5Ff zvPNlaL0J*T>_1QyF(53J5EThHJwGTpx{I0hh-)qfC5UNP2GZnYi}E-?Ot?V zeX;b>9pSy!AbIq)3hqjIO!xjx&Qx6bjsZz$z4ge~bc&c5$`-gV*pIO>sxS)9i{Gba z>c?XGG|u(6GG|t0f4UjXbPYCt^N@4ELC$dZyvNuIy?DS&pr{O)-g7(L^9i+b^z)lN zbOerRM-^q7G}K5^+c_%RZuA5Tv<`L9vMk71e-)G0DoD z+4_@yd%?seO`H8SfqVc-u8_gjT4#@waxQ7# zn6t5V``%7rtvTJ^m)#2ivj57-UVOEcOo^R`Oy2p7J6Y+UziX1jr6Y6gCz^z0gF~59 zwIA%xG4rTE047_q8*gL%J)3Z9Gkub;v1KG*OJ8b^+FXi&Kgu~>miKq-D1g!wXW&Ik znoXc0W#x&|YD^m`AWM*tBIQ=2$eNOEoTZ7F@S8VRJ|B}Ee$HHbsE+1Jr4)y8MPbZfz%+HBBT*+_hK*rV zH$w+g0IfgZ&E1DtNVD#uKHQY=xz6Fimk=+^&8sBLTn1hnEzTTPra&{Zb|Oy={Y`2O zHO?-j3hl53!H&TlaoCw>LTW27m;t)$T0Ys1r#3+Z>eRs{&KB(*<+Qpe0-{VMltl=~ z-h-CN4_1mEjR^Mz!=PomNH{+|8lmh*(y!%S(c-$ir(0$B-}*8KI$c z2ZCv=++1BBe4VZUyG5P5^gXsmEI$T+M5aqF>F?+m6g^{XzX}bt`mpKWm}C1+)S{-9 zKMS=Ugnr(0*6&N(fgygA4Kvs)mFFMkD093cnF%IZez4=uGj(8W{)LBa&L*dT+ab|o zCWDC0L_}H=mav6@J*`i!E3dT!OOa&j`;PBO2Kj9iurD?^H4%Wvg17v=^l)X$rjO9- z)Z)c!Lb^~I(Ve1Sk|U%8;K6Qvju(!MYsYErw<;e@NOopnM!55?#(~7rKr*3yDGiGi zmLA5+gcVjK6;~dQ7K*P)-d7;SH*QxqA_gz9&e&zXo{-X6|CZ?AY3kEq?Q>_Mlth?z zN<+(_#q7LmDcDx%I@-=uI*L@=f^YxPc6#|Cx3V&CYA#y>N!9pjND!aQqmWH(_5GEO zf{Ot(FY+cAeOG7C~A>0B)Crk`q~^5GuxI4wQ|kKNGBM@)k+U`cB=0a^wTah?MdXo{wbL8 zsM_$o^`0iAxBG_oYxQtxQ;};-qUuqN^*!$3*M%cpGPDdyzC^%2IR_U;2(!dJdCbfJ zs6w2^ok%P>3Qu86I_kw7Wx`^hrSh4y!i(rDYk(DBE;%Uk*c>T{ zTyGZliI|E;ZuzfpQe~9PHC1#9ge0tm#iCn&ds57cEcAtDdUw!MpUl&}mKhl8};3PYr(TAHRb;(G|PdC0E9%581{IZ9_Pj@=Xm6pC6NF-WOg#f#=3o z&$yf0aGsC34qht;i%8B>A*lEKRjAqDT)j+|{|QbF_5>BosvwCbied6Lh4qcuB7!ZH zA~YezGNJ{r9rs(N zD4k5=U%&9Ih=~nqT{na4^4qcBPBUB6cCBo-UV4k+u`m^Tsk&t2FAlx^K6d>(Se0({ z+T?o(MXKwr)(})J!BFQdc7oQI2c6B1`vxo<&x3CJRvLeQ;IAEiItydtrA8IgxLC@P zm&}UdO_t8CL(01IG63_nrXf+Q1L#JnN2o3DIj{xvEBG|S;SbgcXd247bEh$D8KhLl z>U8y0s*{;$iK(#@y@o?#(%Ho1mq*kTSxhN4qMWddjZ%sG<<09dBh3eJ;qn723}c+i zx(qX4i!-AvyT)at22a&c&KofCoU1a=wK*0WOAdYstr=A*TjyK6kQ$6%!O_2a-L@tOa}DDkysc)f+{4i~=EF|5nh# zsy0Z`HsRPn*Wi5B2aWC2A*+8a2B&iWZS!^}Sz+&U>=_x~;RPd7DnoV(51oDems5*1 z4FZIc9&>vs`(fhPdVyDRj32YQ%$y*+081@lA3^w$-<^0v!0LUb^qM}pw)m*!7gW&M zMEBrwZ)@&rOaezXLXdu*?k}BzN^764bZqO1G&?4 zETeS@8$aru>BqY4dpG zwp9ak&CPxJ7`-OHO)xg}R)~ir4OyUm7%;sQSASbYV%q`JmCP%NbjXo%-t;=A*jaAH zer&4|tx@wd;u?TQ?9&;E)W_qn<{Kdg&%@{whVS)Zz?YY-~P8 z421VnL6!C$AB}~0b&ZyDolyC~qWn3HYtdH(+PxxJX!qV(?Z#~3igK#|aUeOvo7)C) z+{n1i|NH$*l>FTTc7D8-aJr&+f2@}mtO6w>%yQVmLyhS*>T+s`TOQA<5@Q$CO_y+r#Pd{@)&%g47P6D zEmuswk?~&qCB1hGkMrz|K$H13S-G(#CVdx)ob0EO?fcb1qPPan`?{Wq-TKJ<13o06 zRuOIdbexFN1<2E5Z4tdb`NNp_ws?D%^9uYaTj=p2o*Xw<_GhMM_?t{aF(kPS~j9LN`9E7D^&-o z)TMnDni6!*UzLOKR5S6gtp}_)z5`K6n~h{B=O7CbRSTS?$h+6XIo2oTBeP0Z&q};< z6rf!jmiy1{1=8&y<)sZjuS3ruEM#J3?zk_oA(kE+EBoBv;1EC()+oT8beMW*B;QU? zZ?PD)@7^7RJ#4zqUvn*~qWVNX86GFot=90@W!?}+5ghsLcJ?xCsb%WJPk4Nk$6jzv zZmxO_+NaLGIS5_8KpPf{H#W^qIVkfg3&sJb%B??Dkb2nwAUy=_sPs(nOZS-j1Vy>6 zeyu{pN`I0`t)?OfgW36ZO9vnZqi~s#PR^W=@fJW7dstRH zpA+u*^O0#vE9BJ|<3$XlC$8@m!O*u&viOELCkbK+rT{h3Z2Y!7cgzA}7DncV^LgRI ziam&2NOfPzqhVgxu$q%A3wok}CW@kV@+NSHQl*rud2U)TY|-Eq2JA90sqafDlyR*# zkZv}^gP{au*FG}Tm;D;|*32UIoi+DL)*Si9n4eGn%z?7l@e1QUV}aELR3XALR0W_) zM!J~^?dB-75mRwtRvHFkjLA^|CaUy>>bTIt>N#{sz5_PrC871FVCuwm)A&0Y5mf+)=4}I&MGLM~_f$I=LP{v9M6L zZ`?h&zu>&!zc?~Ko;W|)!$`4gawoi3mVa&|ixiKS_)m%fAIOFu z-dEo<-`m{K5D6xB&KJV*^2GY2UNFVv7_VB+gL6drc=r443cKcu&PkqWKe<=j=se+l z5Jzaw-MFZHGCn~*-I^hB`^WHY!*%=<9D{!tn4PVJJm;*>LeKP{IA)|*u<>Q8X7&(` z2uANrx=@{}p_mJi%@{vmHlQClB2f9Zsme5gn=|KBoJf~&1Vm{Eg4D|ph37qpUwI~7z(~w>V0IvNBb0Y?dsxT z$_a~8l>#m{NmfX9!gIrK15@1Z^WO#{r`~eeijb1ufJG*RLJzp_Z-B#Xg*oSP!f%F1 z?^Q{Y9Ryl+FPp0TF^wzX6Fg15omC3o7uw9uX_9ZgtMp0TpGy#8n zgnCnd_w4gI+B&+ceYU`W?UYO`Yy?$sIIM!azV%X$E8^q{EA+^jodP}vp zqlWP{?%sxyqMzpam9`UK$KOVzEuadre!iq)NLwy^3bv+dyapGknY&?z$%J z+Om^eLFVz`njW{ZQnk?(FPrq|qDP>f74c-){%p-P+&T@2MY}Q3lMVrt9kM z_IArFUPTp*>@YyP$ZcE4%=DCWom$z9HSJ3{=QyD`|Lghff;N0xdfDwR#b3Ec(&A{J z>TxSr*+nP@0n%w$l*+?i#cl6AN6OhuT7J_FIC9CZot4_xcP$BY9Z9I&ld`{a6qLxk zNb7=1=;$|I&EIC&3bPcmnab=B0B*5?+b0#ov&qZ+F}bU{=NQ@Cs>RNYukOJP8( z&_`d5bGS?zv62zWAzr;%-a;eOlCl>b&Ep>MZIZ*S6#E@kqfQSVQFMlkNRN2yBULGq zcLHGsIe%RSky-D`8`U199J` zRoYcDWix&eI#J_SJKRcALUpk*zs&b~c3$n%B@08@Q) zr6BnMo_gFTwq2iH+0?R=b;Gw&4bj1Hj5D3Dk?OJeys^pBzez@4)lJj#QD7UmK6>Y% z(ZI{{{oPEK*ON;t(c|PcOc#^|=u4H_!^RHV)_mmD2(L%-x{yw1L`c>7qgyjC>{$iv zE2^{H!}F1Sg5cpjx#07$bu)gODWJf+bpbDKfKNtj=mp_drFi#cp?HRgMy^_r+tXUG z{pD!J%?dJ&Vr9n5>kng3IWN5>i+~#rIcK5}{&LU%ME%3vl;agZ@@~%*nKSnS$Ng@B zL|hx-`i^}O+M{|NQy@5ZDqaH)2F&ULY4haB<_mTDZKspq#af0&4xsDe_oIt=rzJ?Y ziA?tm%62O-rUv!+1BYLU2(T#$zU~f?P!?02O=#NAONDi*30!SSAOb?NF!uZp4>*Uf%8}8 zUHBCBZF=VSp?BT=V>)Q-arjgh?j4dVBvLfb14II?*@I5QrKlppdQ%dKBvE68Jy zs0}_f-@{EMtim4fVhE&3w-B3>Eh<0`ccgLNscmKi8UW9T3$o_g&KeD3Hg&X)>p|6H z9Ftv`ww>^et&7PtNVX5#YB^}@Y#1laie(QTGY10xUCPazva1OWG#zl&+^#y*@}CY& zN~#z-iR9Y;)vK3As~^?tl@+(^PKp0ZT2(jiZ)p$mW`Vv+FVrBt%VoBC7!7+bd&`!&j0Jt{Z~7R&4Om44{UMq|?DuY2i*O?JuHNjx*y1vgZKN+M3%1?{ z#oJ`H%h2FvPSR^so~he0G~C)uvwzyMa{s77`TlO#va<9Dy)WUT;)cS@d3Aak947%1t=ab9H2?lL9y!)h_tC9C;9g@T1Y_;GfsyvJ{9 zR&FIiEN!RnY;1Qj7+wC8)K|J!Hmh1EStmS?Hw8RhZ#LMSHnCf8JG^JF1yzngyQ^0^ z#CL>}DxXU-$VOyk*BVIG`tkD#XZXOfPrc8w9k|*aXaEyLG zAclTD9D;p6G>Yah@;bh)p4^w_uwZ)}h%fe!j!L!MXCDf&H$B4D<3QnRx!K*}*>a=( zaUhJF#qv7VJIFJdTfHY?1k4+Sw+l0h2f$?ZH;i!s{^3ah-0bi+U-WS`uH$Yo5nrs) zw4ILLhW_DfVQj_Jvf|7G#v1hOG*tLpAPQGI>vo?!nMR$w9BoTUTU*#gMFg78JdY0E zQ3KFW*Dz3#&;*+1$8v0W8qMPKHjJCzfZxjUD(eYDKFf&gPMk4@OiI!b-?Y{#-`Pvf zoEP4(eILOL9N+1$+WQ5it<$FYZ?KU6L{tACu#o>i0{=4zqMa~nGl=((dgTHcy^$lv zr#>e_GQXfK_sfrTnzbD7mfQ&sOOU4O2d(1+sb zXV6Umau0Y!6Dlsk-S)sd?#;A90?GKiY#3My)<+o`I{+e0W!64E8J{I}gHxC|pmrBC zWSg8`tv^-r4ZjB?0k5PI-jsj^qIoqqtjy*^S?{{$nu)!1j%?u_K4lJ2a@VpKw|S`( zy2M+z1J$2sNH#&!0PO$GR0M0u0)4ekr2E7uHV)@U>z<9`PcunH2VywQ%%V+~JlZxi zff^{oX9S&MybWWvWHQItsT}vL7fBQW^z>)SV9rSEhIaoS@1OkN`7kCiBrol(BP9w&3TBa09qoY;&8u`!wMMMtCBd9;@3fhs~WXu|SV> zcbS5foky{axtrl}&frGN_;5v0<{19Ipl@GtzaP{2^TNDA=evx(y=`jE_mg+uTM9u1@=*lU9p=*rBGFh$xY1pO znx#1aJg_FiS20lp=;@|7;E_127iOX+RtPqbKsO!ZGSnyO&^8rOq5Z zpYZE=?D%aqPKS*5+(>phx^O{HtwvyK0212XDE*2P%?mqe^qLG^ZRXw>dk%_gDHxf> zwfbrpPRd2=a~95)l5*yA6ibZ60lTgBeX*zOd+VReT((!k5d8+q8j7KA5NPCetMTSw z_MdiPNCygu#)iLGs=C*^EI%$p80N&TQK7E~U%kAVmhjZ-$?8M+odx-NspDo8O%TFf zD(1p70R`skO&T!ga19VH+1^1I)`a6Ixj|(u@YndPhW!`~2PW zWxYDJzI@tGAD8j*U{8I1NBjq}4%ONPIec6ReZ17ch>sI&YE-9HO^$60*eR7L*zUoQ z_g@yJF5=sLJ>!R&EZDhQoN}tHb*Xct;NJG>^A^k^FK|;!x+T0k?X)7fdrT^aGzNg+ zB!&)!Kp7Cqf2Y2a)0q)xls8{uU!S6GcUvadGfggfDGHg!L;cUAV-`6i1z3m*9Go-45{k3GB#?WGhi~f;eD}+&9~`8qeIWM>Ia2APvx_75VMlI$;fqp?1M-rS#Js~< zM8FugN^arNgEZ<&x_H`$V|zgm94738BV)#x<;HBL3=J8v6^xUoOQ;=aRp2oWS?9z+ zs|dURHooSOCkLP7E35ORXapLbFX%CULK=D?*aTrTT@cQml`cey3~1z&_=D`KqUjjx ztIkKvP>$9M%_pchnkpugBrYgQeVBW543cV#Nr;QD>ds{S8vJl5ym1R(rsv!z-j`J5zBVvV<-JR=^0_D|_RhJa9cmLT90V62it*>`Ycu zNVTGW~l_WMR~Pui$@r;cQ>k;JUk>t7O*I^qSZ`Jt~jD$F3Iqr;`UBH>R1FOf&W zH7POTD9;A_yir74HjTFP7f_C@<)^u48r!RvP$i$zYX&OTh5W2BaUNDx8S<$z_eQ}#DxeW?Q{Z|z znJs_)gB;S@=d^I3fG0m_dE($iR08alYgJx!rD!bGFJ+P?PSCV>8Z19iG>9O^M#L_^ zlm%mPl6<3-@xOxDM~SMte2mS@cxj@wz=dmo&Ycnc<#<`obT$=AWE#*;Y}A|TV8sH_ z#X4H!U72Jn6~-AW`e5o;=i>662L>xTa0CGpKbDn72~-!6>sQ_)lqjLmLzE{gY^ro# zE=HzBUu)D_uCRCY-s*ocb-da{dq?Nm&f|~!yPu(5nU#V!vU;LFQ$IgaTHonrYHl)j zeYPXIMOk-WQg3r?IyzfxoX4si8)VP+Joghsdv_L24{G=3{-p6{7SDSkeI~|Yeotc`z5)tyP z+bb$sr^VS2Hnapus!pF{R+kVdU$ED^?w5^AGebNs&Fzwr8v{2NWZJY=7Z}v0=ZzcU^&(VWFsQnm&0GDoWHk|KcJ6-zwb6e_64{xEHdel5jusY5kvs^HCh)WDV zg&*VQsz14O9kE{S+AauzsU@G`CdBsEQL$_(!hdX?PKl%Bir7BTn?>VG4O6D}&(N0( z$Mcq7wm&u;vAgMOlZf?5iO&DbrJyNf*_ zjR2Yc!i6yFcIXtRRXH$r@o&X+0JMxaYnsQvE&sCyd*gM&LJv;C?h&40G~tZbQ5=~k zb8ggVcN}G$3`c&cvbtl|JS?qJJNcUWSGIu4R5Ugi=(w+MDU=trnaSw$_oSV|Ib5@^ zd#RX&K<9Xrqco1EC0L0qTsC1eM;PW8Cm%o&4`SXulc(><4CApxknqz}akmy}@uTeE zXMmWx+u3YM$cz7#uvS^n_+vPxh^e%+kW3&O($Zsi;XM zTpMxO5*jk3fV?NNG!?jh^uf8p+`o)O4s;xJ*8CfpalA-})d{}=7yo`V14yrY7~;-$ zWA-pT3cr~+zLw5-f(G{hTB0C-(w1z$VUdRO)bZoF3mFBHHbpDo>>13po3tZj$Sv;( zb%lg}s`r;gF3cC>DtK^A7+ch&Z@3$(>OeiR04Q+oD@1)3w5ioqzLp{{lT9;bnuPNc zw~$U?V@X%ts+SHCgy&oEOr*hG>^jam-aT>b!}27~Pq8s?ZKL!a9(=B@3>xPk8t4n< zyJuzuycoG}Gjumv*f)WK+l8PyJG`|3N^fi!Vnu?O{kqtoHDbZ1`Z#`javuj0HwZy4 zo#91(+p@qLz^Suy3#buc`@rL&XfqDXKz>oH-F~HcBrDbAaDlX_GIF^EqQpb@Iq4Z{ zYa7Tn4EGL?;CoP%obkO`fbA^?!Vz>mL>k_~QHP~18kW|P3cMt~Z0vfVsi`Zjw2|xc zvQWmiK|a=S>Fj;GL^7se&OzNs;_W}6cwahfZ{8%s!At9sO zWteEjl9qTQkY$;^2<{WaYn?I$=~8A@lVK*30*r?{(z7+Eb?QyvH4YiR z8#czq4L~bz?$rg=(YCG?tbGqsSq5$?avl&HshaiTOYuj*9Y$+Je`~`d#1)iw`iO2} z{*o{@u|l!a#&V^982AU_t=?sb(qjn{s6=Y z>h`kK(TCS@@tD}Qgc$|XE~cQ|E`dlNQQgO+4Ikts2z=BhJirUX(cVIX&w1}PwI~SO zLEty6N6f8@ZSuwCQscztTv-uW!wQg)cy(0)jw*P%SARbu_kd7%U5e2GFIT%s=z$0z zOG(N~isI!IYw-9)jA=!VDbTFW8qw=NE?=>@YK=@|q;kPw<<(@fe_{g`i%UQuAJ(S4 ztylg2eJ%*X;3oloBuG$l`&oKO9oO^ariXuu=X~EBe+=S>HYC`Y=Q!!-+(-q3$$&#} zaRSaUqC32{0*^Tkq_LCpOU0uw4od=uAcO;DHblD?7m>Vv55x&-k)sv`NxDTJ4V!3! zKo{XYl#()$7(W$bd>pU7Qlv!UzY73@msX~2G)^7h** zm6*ZqRf88_xLxK79`m)Nf6nu%AiOKj$ZEPZ7#{xnz0>x!KwKd)clco*1t9Xgn01XX zou%3v`=_oGO;`ENiGv5H?L!-`0_`EPW? zw2EH{!?X%sVF##WJ~;=cWI07{0SCJZTq5^?5u#)`C2UgnG7+I7p8(r!pmP^;7`+@$uhZ)3ttGoR(@tBU0Mb*2pG9g8-LE{9?mM*9j_y0BjoLXe zTUw}CsG52)8w}dEfIuz1?987B8aSe*N0bs9H{Flc|XG)4YZ#q+nn?~U8OH&jAo588y)aD$_Lc&>)92Bf-dZwY; zW*-v|6s+ef?ZB_O!KbY&zbUu&$z~X07ImKDL)}2qB?d zw`d^NY->7lz)9_p+!DSKaP8rorEa^n*~#8->7ceL)I3$`RA+T)W#gEvc_r6|Jx9#BSMBv4(Z@DJbkX@9c;t&B z;dr{u3niftLy&m-l9H9DARacKh1aF8+(OZ{hNtbmEdj3u!NVVojQo*=pqr|2acPxx z?Qg5yyH7bd)gk9K=j%p}F2P;(Bypb>L)Bhfov%JWa9Px19GpP>#}PcuLJ!Jd5G_D- z6HPD-S9o?%il}OM=>WMb}aNb^*Hqi^;qx$^5OBp@u7$d|5pVk z5%yn7dmUDa>xAF~%R7y`T#w>Sil$L-7J;wf*gfUjEL#3ASRn>m^M51#{12Pr|EF<_ z^*=1^|5@$PPMEX_q=OTF^%|yH6c!3$k|xA2qS&rG6DCAa z8F!T66|hJ97OEuOW_3t~lm9qrb~BW1`ruHREWNneighv&-5?U?*VwSzmb})vlp%{A zyi^G7KreMh>vZ;#ks*tmnxrk)ncTA=?r9+ve~@MaIksjKCQ$jUv~4u5GGBJRHsqwe z?g&c)wD!O8cj1HO`{YBPw8eji|zkk>y>YB^uMgs?XQ6V z+RQ9f?$d`1LgyDAA+iuw6H}S!dWY%O%WW>?TwQpOo%;V~=l_$N_WvNd{ZA|G{}SEU z=>Cgi?pn>;PH{Dv_qCf;J;hkEf!g;DfcM^t&Ie|I4GjXxZ7l^d3~nrY7-0~vuU+wD=jr8+=S@5AtvDY59;OFBu;^$__QdK?F9#SO1z?rq&Fy-J zxAWn8H2}M%{7%=izv%5Q=kL7p+JUDSP_|~l2=m$xmft1XgmZQo*;Hd!%mdoQarUMw zkAY)?EdY`@nI?`~8DYe;CfR0Pi*+o|g5ihrjc}5roQg+JL-DEYFP$fvF>S{sUf&~t zLasTgNGb^9Qx+A01YU;JI)aJQjjKv^wY{9yw6?JW;v2|?$5=#fgQNM& z%-~xt2e*SDCi{asnyV2f^a!}z-~=ccS~l()ai2IYreMTNk`q$i{U5q}g$YG8>6lC( zfcAlkBVu}}_A^&=57}}1a+HVt(L2~V*w4NFa8w2q$&8{TG|5WWqDyNr-4SQ2P+r}g z{#jI7q?mq1^B*)3(8agYJV%8e7N{b^&DqPrF=_YTTVp6*(S2`+2=LqnZ){$GUcX#J zo|QfkGx}`CY(Z`Cp5T8dm29%_!I;TAI2TkbKa#obMoa7|c$+n6ZLY}nV%llpo%I7t zRdBXmUw!$^6h)T5&69TIw^P6Q8-7ePSj)Z#N3erkL|j(@x7Bdh@9rdPK2VN-e0VA; z&%iwN8i*{o@6b1393^*R7pV1I5WQ7kv${4S^BqqY*Rm1@Na;J-CLu2I0GKF96=m_rzDyJ8ZAfH)=23fHzP( zg7<#vZZFu})!t#&1iYTZ{mzn}eZP8h!?x<@9_QB@=of$=iEc&5K8x za!oJS>w=8n91vG!OXWw()9Gq5)qk184eO026O0m)5s_NKAn!7v+_bAHhSM!Z>Cy>g zaAhRKO-1-fXC=kZ@D_@g%Ao?*mo+<=`Ej(J%B2dI5WC6$a{QtN_~TGmLJ#sh2bZe0 zZyI7EkYi%E*4}i~A>_*c^j>6?@l$FF+AQD4aGApzVsaGXAGu5_mG%8&eD+jt<5Of z(YIFWcp*P8NO;n9T|K) zsJ8)%Y%ATy;uW$O?93_98H*E%f|g_gWE!^xAf^5d$iOsHp#U$Ay!dyQl<4vxVu`ck z^Au`CuYljiDlkC8KEP7}=GZ)&(t8w9+LsfHmkFkJB?N16lgLvH&!6%l46`8AeA0Xp zIZXlIBq1tbDWLAee=716DtT7}D^o0NKTG*s`)ojiOmZTZiW<&QI+KTT%~+m>W95ek z!GSDe!*D@4t1*>4NY`TN!BoZcvVZz16Y$*ZRP?0fh+F@?NekTgIU8B-f9_A|(&tDP zDas7q!Zu!na-yvNq4uf(^0H{tP3ChYBwT@W<+bWt!4&kDVg5HJOa=?R{@|s>hLw6* zZBUJ>Pap~d&6~oANosg@Ca4NNs?`6&x+{RC2b8S<#~P|s**rA53cXPXV^H7KVtYQ> zM48K6Baec9#ZU!=dI{@hb&kbB)wzxt$t>yps(cQv!?a5XN0euctDJ=R4Dm5)vK$L! zwX#2DiRNsIuZY^&FL*pzbhU~1*11UtN4Q7aI%3E`x?%w-a;PBO$hNbBZAop*B=Q-U z;4kkx@H6f6WRp3b6n4B!0}^Wbb!*8A9<|x}P)e!zc~l8aByzincCzD_Ri4$;BpNV-X}k%Nsss&4d2qsOoa zOJxMDUv^KA51$zJWv}7W{rA(=YJSlTyO`hOVia4Cc1R3?0;(c*eiU@m<-3oEMT2No zBldnxh7-BuR;7!%vT}STg3rN-!SGc~{#<1YPG+~rp5h$*tD7JJFk&Bxh%}r#nq$=t zwJYJnqZ9c*^%9FF$Ld(Dsf!^$iOxQ0{_5c5Ipa@v2O?t{_TOXukLG-8V3%BfFoKv z%+uTdd8mV#o2*7qwQDEj_q(J*$M%m2id$e;0Gd7qs{aM&L{OM0Fzq5ySmajAx-k0I&`mVnviXW^c7Tu?(?*IlFS4BueXXRt^qplZN3j zI5HOpU574;RhEM+%hz(JI(L}+7Tfr7B`D2UYujnRz@vW+ z@DfY2Prde=tM|S?4FT{uy4w1EuKE(**y7IScxUd)-ynlte$mf z9|MH-$v(n{b8vRt7G#J!`i=GKur+AUI_eTLjiqWoWq8I;9Bue>*db#BHiZjy%;p8Di;pRHVJx;0 z5|fl#{f1sH8l7DgR469ka5WVA$b6M?Ciu!!bnzgeQj1pcQgm^UUezN?n^F1h+hP|+1CKZPWa3|uW)q8C;ry(@ z9o62|>WR|ZlPbL)OLROsL!~a3{n4k$u91CImmBEf!-)CJrLZbJA?hpm#(+!a^cEa1 zjoIjH5yM>zcdqOGM3=W*krpqMNHHs8iBsd?}1=PgfuW$e@?;RAxIc=lxTTC#8Jq|RjnwS)0$ z+Z3dB!6*o%7UgLIGZ8qA8HuI6?^7Ien_)_Vahqb-5SV*W43$6E7`~2L2$IkTaY9fA z$I?T``V5`Lw$F;k^ZOkP(b%79*y8%f^VdAgav0JMvbbq zMC6ZnA%m4uJyFNgW96Af+M3DA>PA&__vH-lm<-jL4b#+Pa$}c&e@ef;HvS);_r@nfAsa(={)mB0kdOMA%y-kl&+GV_WbMJ)idG00c>+C({&$`dL?t$z3 zJqj1|2>{wNpGw(fV|zfW5#ENt6Z!*3hXH zW-`n{VKcPvjP(|hM4W;N4<4)`i9aYISP%S4llqb#tyg#BfD^o74>M&{?Mb9YS=EYV zYbrKYozanb7fNjwW}dP?Sv8ChM!UUfKpK!XgaNdZF#PfeaDCAcxPygZM@XI-yy1)6?DKeJf=*-j=+GulAP)(ndZ-^^brg3+B zGmjYco8s6)SNM#aJX^E?_FtCfoZgfn5Kxnsk~;FH1>uxH{x30CLTZ5rJZioQkp_Hm zF#?47TgHTuAp5~K6E8C@<{Gra5#dADkHp46y9abGi9cGaz)hI-f zEjAk{v~Q6qHlru>&*<(E9K+Um8z#)kD6T`==^-Tu-+Pjb7v6aV=;Z&VW&IzZ&i|)a z!1f<=SO1Gx5cj`A?65lp?GrWefh9c0^?lV^!0fEp8L1lNvjlf~A}~49`asV=eNGym znme757|vj0T1KIG;taD62T=6;=;JCZ?D|i-DOqMfl4iE_lpA6N_NG+m$Fe(2{CKJ>{VsU06*6ZX}cN^n@dUP#p{CF4;oiA-Tqt`tcMAT z&&7I?u`MG!U^v@C2k&KUzS%*~$1RWV)zuBUX1vMx_r)iLK;WwI2HQeNz*XRi8*-Y= z;e_c&F6x7LG(`9sIMbJ zyvNb-%Ca8zzOX8OqLklf>!aDr+Ii~n@KiiKsdJ^Tc>M4#)@5qT`EWONs8STlOcgg8$qtj)IHt4YveHvf zO~fW4BJ0y9ZDnLEr3$dZQRVN*}v$-3) z1SzhnEwI-*tH&G&y>{1sUI9T%3yaX5p!sry7!^1~QD|qX&=I|j)f#ekzLt(LY z8>KB`WAsWd9h@juRf~Ro9)|rf@6{yK?M!d6L%g^eTn= zl4CW3ZS{5bk|HA0E(?qCg6&1dk<#m}JdB2F{i(p#l8@UmS~bO+j4K}}MDE7B>rk*u z!Sl!KN0_Z0r4PN$F79gA*@97Ocx$1C_Gz=x%>#A>aS^dg?P`VyL?#6{S2kf|RjeeGzkXSme}ZFN2#!xI%uG6BK$J$jl-~i;Ca= z=^02HlNSyTyLG=(gGXU~$vQAz zBD|$FmcGS;$f>G2%e6Yu#z|8mx?cdCS27+6=2zv_9^Sy_g`OIR1RfL{XOuZ%VM%R; zl*mf?V&ZHliYOoDI^OHxomXt`IndT0ZYDXXu$Z#h{T)LW2FgR&&jhYg1N631={!%0 zwL4`!QTry#90wDZ8bMSP&4JLAALIeC4yk~qV59|afp|_w*1HKB%Jfq_ZOtz8J)RC= zGdm~Q4aOcfHuE}bYH^(TWWwZa2#o}*yrnCcO_bF0#F8-6-QUg0g^(v*TJmh&RMgE@ zBKVeQH5Z;&%VrUh@QXy(p=m?uJY(wHiq`nw%PX>#UB2^#-R z*WEyP=Fq=BaB0MFL7W`DQ#Q!MCemogt;_p%O1%_V9*Opw&s>UTjr4DGG2wPU*dus-zg#6-(XMuU$}L&h|!H(72zRjE}; z2rHUevr!j^hZk4hHegI7c;i|F%G`>zzYsimbdQtJblpU-g5Zc+xKT-@*=&dP;S)IJ zkKMu2&WQ6`PpW81%`cINwNV_Kfx{=diqK$~zdv19*tK66j(P&7LXu9}K)sQwBh`I* zz!Y54S6wp_S!{4vhsNXa`^)0zUDW??)Q$sfz3e{-R*w>1*PyT?^57?kANlZdF;l0a zY=hq9r+Z&raRhl9D?6S`|FQd9HM&eKF#p%@7tC2xV`FCs zr}J^f(gKIsv;v3dP~uB=_dooEk$Tx!v83sSx{si^#bKV)lR3k z1J4;aYSZ@s*>?cg7;A%fe0q@CaBF`w3(Ng6>UG?sf)a45RKN2v04e)vvOOr!15XSW za=D^Pnk4j>l9eOU^nlpqhm7b&A$ozg(iJsPWuUfP-}LfAdWgtQwZ860JxM@NKs>xL z(Yyf+TZ67M>r6StNh8-4U4(_q%&|5(1hL1hpSWZBGxLA_HpIE2V`J-64LOmyG$PMa z(;Aj0|C+-aR8nhNO}C#OcQdtZg^XPC-YIlC6$*DSPFaT2e+M+H#(S(+f_Ffux!L!! zt3J3V93Qi6Uf(QcT9;q&OwIQrv4r<}z^tye(&4Ra4K7;tEgGhzq?W+sa@~!mR#e=IIq($5GK4;rwb?q2Qa^2{MGYja z@o-lQiZrx;(_E<$zH=MC?O5)}YQin(l`~ijQU{0^;_n}SRNg^|NOaO#P`|_4UB7`^ zfuG1<)Or+aEx=G28}M{eV;Hv+fU@$v z%A22a*{?;R+uc)-6RU<|_`Y0lk6{SccoYgvBG;1Ldf%iKE_9@ifdcsa(d2Pl`(ttN z6a8lM`}wH5iTV~Ia+_xh3ObV&Z@-#HCwL}F9q>bMAr9H~qsDi)qff`MSv}&TQs4zx z8ts#CU4dM;Z4#?e&PC&)JStA?inBPI#X7-Pn*!;C2Ic7!akJ1$HtAj zCJ6?Xu>=zP<&f|?ZgoZdJJeA~5V*#u0}mo$zXZQ&HbrCH&4($TlWC269_lE4L&Y^B zn?z;bnp&z6Kjr%O!YDKNZ8nB>2gQLCG`tbXv4%!@YJDJwaPB!9HK>r^w@vKA+t?|S z?;EtVIJ)GmQ&rDd)*Rosp9H(d4S3e#d=>gv2%Yc0RmjH)?B{Fm9p<%b3F;>dfd#KB~l%g^gi$~AuaO*bq z0m@w~JMB9RjHG(hE;2rgX03qB*I8ik@utqPu4*AkBcyBMX-DBTzxK{knRT94y}c}M zN3_o*gHh8Eo%g~IBx&6Eh5G0S0a-y>+2Bl&U}Q^Qn{v!{<~qY`At4&rIAtZa#aO8ZwP$ z8$Ua(wl6%*K{#+MQfohNS@h=;ntRoKGsj@JH;&YQoEP$Cn9C9|EEp>jN2?H#HG&lg z`(O!Onk6a&C(%#NNx}+RLRFd-)`<2>p}fxkhq>mxysZlcgK;87DF~wekX85UZb!R%1Fhb zRwkeED0XXMbCiYmp&OCchnm_&PNTh1|EO#R}gXi~@W75`+<;+mI?N-0o7d@~gV(Q@}ln>sDCWz#+?LWhd=zVH#aPgIf#v$uDg%6sjQz{o6T+V!_ zXof!y+hZ*jJ8?bf!*-Bve$(ef>3K}|6P3<6+kW@`uFOG8P{sRlj9$;bG7`J`(>yR(9M4}<>v{Wd(+lpcg z`1e({mq&ny-3RAQ2ny0mV6o;~Y!OLvrKTIDCnkE+iR(4uNvkK-(oSdVLe+vi(@Uga zDfGy)2VR)isyi<2});ajzO$o^t%ERd8 zMLm!yqU+QGGskRf1CjHrC7=j?FrtGPDn~2HQ`4Sdo6Y6$YTzK**S+9=`84xiVV|uv zl(!k_t9Of(oq;a?A`TW@p9s^+%1BdX6HWV8_`&Ypn&A4Z*1$cZS;4P<-cfBG4;F%4 z99cQy5*90-&o6Cirb>G~>gn}7sO2>rCcJL?w(jzt!)<>se+TT0?x6Rh?1cCt2v4-_ zVvO&g3&+E`g@Jk}DdWi>;~$SyTC7_i(?)k>tNAi&dnpAh`&nKB(G<@csu{NB1Uo~_YZjXqO4C#i}A>xk#`wkDq1b#uM zv;T!Sw}S6-1Kw~8>CL$?r-FcWlaypazV&p!@4E8&mUa7#o~m)*&D36@zD;Z0M6SuH9W&cE(@ix~``M(%Dr|3$;a81WHcGyYB9oz2M z9oyWoZL?#uW81cEXLqcQ&B>WrXRSGF&a9c6x~rS2e^u3A-}^q#94L*v!q-6B-C9)g zqHswyLX3HFgG8X)eKC7zi+~Dx{To9?b!^wpDAI$Px|u_ullMco7`ts|k+zzSQc51? zEZ(uu%9V2zS%sm=I{9D`d|y| z#ijMw#s~gvVhn12xP>5s|3|TSy>iOks~JMuIkc(^QCZRdIHB zVh+N4UOSmH+0zu(1xp)!esQXj`w>fS1+Xry1mygb9a$NtSmBs`giNp0XM}2oAF%+B zIP^W$GeQsED*Y=l7s_#JsrYy9XzeJK?A*aCe@tTA0$b+VOZD7=0eJ8`azB*fbtA!0 z&wd3(Dn>4xoo7?t+}G^9m%HPVpGLHuk6+od#H>j4PP%>=1((-;QQ0lk;M>A%>>zx< z?Bp>y&|8e=q6ojgnfU^~sa98Hp}(aDv`ry@yh<7Uhu9{0TCwn(4R=V>cYM9MDsPH# z%pYnHjbjSV@LZJtep7dp0X>xV3UU1CnduO%$%@Y(@iQL7NH>pt=r zlM4Kf@}T{Qk0M0o@t6gk-h~T{85Qrg=-ys~SXDC7wrophRV^pZsoJ{*(9^d;+x<6h;P%5dYo}L4GP~m<3M!U>+NZpKg?s=PHiK;z8YN>+j{GD!n z88<|jPAioq=ACwxc*blLU#ZU9FQB)x!^Y+uYRrsL?)UyGI|D9EW>|zlrz`cHIKC8< zqMt?cXCjL{x{~2)1$mT-iYjn{eFkh9QW`&Ql?KSElDSJu(u2mcR25Xh744W#uuf-x zeaW1aiWqP#+S30CYI3=BQ0NODS4mIP@bYP(nlqbi({3sxZdjg2g5u{1-f9JD*2WQ0 z0PhkPR(-~~mA_r+1lv6~EE>-0o@Wa2#KVuOHIJ7m?eg^aR+!DUFkZ~^?8gucsWScE zA)6vK?!uDk5?{y`F>EPZ8vtiM&a$G~M<-hvk5dO%U81qVIO|fZSSsw4n?`lgHdE4W zM+b)w2X>>5uQ1w{{O7;9GhC89IK2utT;|^?Yvi%lPgH-+t3s^ri=&@|=(@-g_1=|E zL{R+hc_l~xbQ;zL*!Wy{TR4fxemNyCSECh0qRsp4%C|Xf!^~D? z?m2xe?RRpR4NgmCg;_tV!omirXu@C)|7AX9#v)5CSv}zg<@Oir#5`z>X20no%jf#W z($kTP)=Pwkr5oj-{u*3{MXc2t_|4Tp2(iJwmNiT+*rqr8@X^Xi)oVp21dUO|ZS z#Qtkdj;c4d*IG+EnS{IB{jGBoJ5%D?dfhbfpmHQa{=U_@UVb5t>wrX&bp_w#G=equ zoidP^Z*n=1P&)C1xu&+QV40R{u%_6}d+~aX%`^Nl1kkJ<(*1*CZ`8JX`*(iSm=J~( zEkDV0pNNdpa16munT3sGi&*8ON<5z5DCi@bH@1qvc*jYvch93c+WsDkAVyQ?mSX^~ zPUUYhjrymGEIKZ=$qp8=1EmMY_sOwmiA_z)lO&HhPo?z_j3S6ATaT3}jMo^C8Csll zqbm=^QvUWKtJJr0GL4Gt(W8rB;J?`RFa=s>m5kBy0>;k_A*{y|osx9Cg}{(SsI=>-aS->*s%+v(25w z-9J5Q7_{ymV+taVF8Qt(c6$SQGx@z3q^0v87upo&!-==G1UfI0dk<_j7;SKs)Y!bQ zB3-y28PjyLZI*{^mcmMsR1|PifAMPJZ19SCuC#XD2G%0pDh7XU-~W4;fof{pB4z+Z zr!3;D35zplzN3}E0*$S)`3&hyQ@_{*p?J53_V2G#9Ygoc_gi)?rd(vCS$NI1c<|`x z@Oa}C>KoYQ6>j3>ChPm;+GanmGFJv_Cz^WJi*kP?+1$OV2^VfMJoB;$B06@+4oY!E zMp~7)E+S->xO#INX2emO$fLSHeHpNiF>_Ma(@1;pUTtIHs3Pi7M$&C6(A?Bqd=6F0Ahe6DL!>>a4$8roW z&37)sFV9UreUR2vQ3~Y)*{3t?w(1#K=rTLhcbC*c=2u{4D< zMrG$^mlKZ0O0L4UoVogC>_4`JQQ{v*QB}l@Pv}3fXHvIu6Q&UZptIF^y=eT9vXB=` z^LbRqXL#rn+(OwVzx(8s-8vcwgKnpDA~_-1vqhH{*BWkN`$X6r;Uhf;qg!dmDGx9X z5XX%zQrQkqV?Gg=@P7-m#>k2aZlbu4A=xA2DNx3Vvq1mhog;1--7-N*mpP1oHow4&kTKNnrXpJ#sCF=`MxaIxx4R#gzgs<{rUL|8B z8w%6=>)|HTrr*k7b7ZHqF)C1>`$aY!khLW9`m?I~n^y=0c7V@0yfY%LWfc7@XYNlZ z7V8IdkK&O_NH#J?rS#-<Ee=x}ksmoh9=2xDV?XuQQCRJD{OE zFloA%6dE5nOejBSaqzJ+x&KMq)6^4#ae4yVpZwdu-3oi)O`i+}Yqorv*uaN?y2^c%DdMsAvh&dM<@Z4C`WJvZT!5+QZc z_)Qstk7XI%E0&sh{pNOpXYF%52U%H-%Lzetj0?M}KphGvd(_a>l#CB$YE4PQ=!(;Y zV5P;4sD61v>FSYVeyt(4E^3~DY5pA0u3Pk%$I-JB=kOx5u}Z|SOt94QV72Yf_DcBE zQ2cx!6xfQ18xGVmiQbml&d9KTh1-WzInL@$3ZVon@?ZB32x)1@S4YbaQR6U)+Q&UFUYWiJl6I1Sq zzh~{d3N3fd8(Le1oMmSBS7HF8UkQOMl*tzRe*Mn;CzG8k)BAw?V#O+lX@i8O10E=rUyY%9s8qN3{APfj1TmVBHQzZAT# z2lLNp+(rJ38$bsYz5DV*FXQyV?x7$POe=9q$qr76W%(Nw{@{pI>xfNStH{F4UXovp zE2)fZin(uM>mCs24oi-$N)YF@peV3|yT0q@+b2UIp8)@Z#-XuWKQ=%U0xR>k+TcWP zOl050XvA3Qu-lNH`uwwnB!j1b|J6xJVYV1V zO~l;-;eb!n5_fcgK3OFk8DDfhfKKQ|C9~fH=x^UFQ1)aas*+#~MmSai97rI&GV(Vi zHJ$>0G&SW-B2c%-Fj&udT6+~G&;)YhkWxiyuVRN~G+3`-2OnLBs(-8pj@PMHc?RAT z(K=$)5}(iW;HiatD_-3mrMu}q9h+)evgRj=uhn}~Bz8d|73kxJV^=%z;W-+F&<}6$ zA3Cag;v=dty0)Bz#+PDVwZ^lpb}<4D6PA;5jGO0bS2v1lgsMsIWeAt3sY|{#Q&o4Nf?nh__Z!9&(C5A;mnuHOy`? z%&Jzlazgm9QGM;Y`adJosthIiDT9L8B%as4Cy(@T@`t>;Q?mwE8Li(;uPzZXctAx? zmh#AvRkXo@au2Gq`%;e}Oey3hS6i|IrjF-_KMmJu5v$NxQkWFS)_tP=85ESE!KdEq zdS50A*|0mKiZ~WUUEL{K#*h>kR?wQbKAdHev#vUfozUfXAY29FM@oJ<-5H6RtOr4v z{dUw)lByPsRE&wf#cmwWx!c5VDxhLoPVl+6w@A)ExS6D0UZ z*L~Ixa_!7qZL}KwV2@07IFB^5Sk24*YPK!Uowc&uhy>_f4O_?OMp#Nd8J>o z=s*=E47mZ_+Ai?uw9;5x7|%{&O=HZX%*u>X9@L?-;caQHD(8ZkjdD&c&1@pFyO8PM+uU+Y~cHVkOe)1q9Jmm%B zTUb#|jxK&i`h-CDa!3vnduHapwkeIk5#z^S!K;~3gBv)Wz{Lyr-S;&g*_(>Ihth2kr}Eg&*S@a^WH|g@~H~pr%|HI?pn;v(t0JL zDSS{{X922ft6Q$QOzkvWeDm)jt5qBxy-zmb@egsJH%qC)iSg>4OiS;%0h-~p**ajb zq#esrFp|t=(=*3_zl>-_yu8|LPkkNd!2W*ud#tYZ_I2UwxPQfs(TEt2 z@SL-aN1l#{1k=ZgesO+;3b=6qz5z`w-YUvuxBMlzP9$Xpf*wRC;y#Ja)a~4TC!r;3 z${)yKFepjH6lQ++OCZ>7sKv|gOc;`mKFMiZ|Pk# z(=CWI32cU}uZ)Eu^rJq%+HKn}cp%G?3L7eF!98**llwidlv_s_!aEw(c(58|;-HhE z;StuUqx|W3OdM1J|LUK_0O}^!4Rj0>y9so@2}3=m*9CM8>LDTChy6*U)m3l7PD8JENTFp0IfVqi-7C?V|*RGz6B8t)ET3z!^43ImqJ5&E8 z3!pN+E~Aql-Nn=-OdVp@^rnl7HM#yn_j`O7QnQmW>e1x7nr=IOXrrEsG^)kKK?7hn zzKg6$m@p))*~t(ES??|fs2Mlvp?erJs-asqxsIfJ7&U64a~;c91^8+ zb!q^G(On14%J?DUdJXz0)p`x;C<)WLpMa@)cMZUVNu3G+b<8M&4luDRtVx$J^rih` zjC!tbRRG+6&9VWHvD5lBr@f zYLqul!C{gGwNS5szGMVc(nj1ru@0uZn?@|(R^h`(ufcYGARWKIF_6^pFu-a%&x{!xTL;px};XTa=3)hVk%b}P4N1nVK}_HU>w2>OiuPl zc6MGb9q&}U1N~^1da014t)Y`4(Bw8Imm`+qOrFOpx-+28)|2KhY9y~}t^ZL~TmPND zXMTZF_SOoS!V9{_K1`4nu2ew-3gncrVJ zMn?LqK%(z;{6eCn>toctWkCz<^6KwfI(e@0J@)PWC+700+`7AWi90DMFPtUB+`9XB zkR_Mgx*+GA1#bBrhZmd@KgXAp1*8Nk{Ryz^ab-QdB>Ne1$g<~r-Ij@-%dQkC&u!`4li*Fit@zvp1BKNS=$G9C?zf2 z-~QeKN(QpF_wQgzM6ysEJ+l|U&OFlu3Aqx#z98T+lK(Z2ZE*sDt zTgiP^&TixHg~Y67N6)~8y{u(>&*%k9`3kP8{ktC}*jYq>8?%%f1TC444do}e=nwAD zN+_}bPM&cKkXZl+tx+I&$t(BNku!0LN7kWZ#=wc5{G)w)=7P0+1J~5PGfoLF_Y{aN zdx1?}nfr^E+IQkAugoQP$QGfDS@H#e;AXckKT;VfOIfhVqO`}KDGOcTk~ij7bt=D+ zPz$s@U<*~ID4EL=cle^BOqWG3NXnCOAs;y-mKbJ*I^y@2B`p}pQ)ESQV>{67tMpRm zCWa{!l?Z3SIO6w~36}U~Idb3MODqOCa+x}v4wP|HwFu@TB@Iz0N|@P8A1y{HXY2%0 zWEVR+J`Z5-t$xPSC@S=%SB>#=Q8-EOCXG@DBql2JQNdDm>@31!jg;}0X!O*+1J}69GM)N?3(PGfUt(ClM=V>aA6Ss7VZ@u6#gwdEZi;p@?4WU zVsI(S4=%u)!GV42We3sBqP#)qfcwHQK&JZV`ujl&Lf`}t`(Fki_IiK_ufAO|f^vIX zdO3SndNo1Bz3RO?0j#}1kPOJKml}i!s_E7Dp9v6z%mt?kP#B=ul?TfO8woJ(9qEH;@eo?h0U!>yGIT$pz)U{B7{w1q615e??PEyb5~`z3#8>&);in zfHRBm6p%9e!bMz*xeDHiWDTo_Kp(XM{e)_Oct!X1o`tf8$^qv9 zQ-HMTXHiftCA01F_1skM7CJ`YW08Y7m6Jp)+& zT}!qn0t4xms%c^kLrwo27*7DBj10N9tP5?jVYOq*@F)xvI++=|O+o{@ZS!acI70p* zVY-EO5m9y$Jt(fG8s<}3{}PU{I;>n{HSf@2HJ@%&RbH9=>U~aUiRpY^ejfX|L4VA= z&EB&HVTiCG7JxHbEnBb9{ZhvmoCMhc5T_CX>276lK9SOQsKdF8;lQBbfQ8vYV8>w9 z5dV4^d$B?0AQpT0C-^7MD>8fZC-5htRi6JBcQ2ieR9{t?{-27Vt z$a=MV4MB1sWDuG?n)^2{uuhmxgmc)3FNP!s2-^U)mS7dS5yCeQ`U;#kAT{7$uS_oo zNDKrGQs}+yb?R07&%4bBX$_VGvka*Ke&$aV(A10ByVr{kVm4r}MbLofL#PDH2nY)> z?NtIZH*AN}Kmw7=;(#OizXm+_`aGZWpr%1?B5p#07NyL=Spz!!PkPx5Xh6^xV79Qu zV2J@zLYPeG7Et*9o_Q#x(6*42V0&L*bA-Q;Rlr*yjQynz*nWX0L7MhT8bC1-;vyh{ zOZ!87C%yvn=oQKnj6=MGcJ#N-L!BkChtw3Ji~}!(?2_E`o0)vCc~SjzQwRAyQdMa; z=&Icaf<+Q#EBrS({Qu2v{(tY-bNx??`+q4cD0lqcU_v{8#-?K&5@ylA0S^oS&9{z1 zI^2M>wB5jmQv{?Se)@1_4M0m8URt#AW@*x8uI#1m@!7OL$EUg{$i*&X)o-SF{m!3p zOgC#{<&ksn`Yivv=BX^Fo+=r!Nj!5P!dZH3f7V2?)Al_snE`T7P!%=Vb{~;@WH7#* zJf20AE%@kuj;bEYwVc||N)7*fbkXQRR-coz@f9d`6tIasnt!O-T`|VCIeq+{u$!>o zjG}nMq%V4J<2C!vMU*so(!<(fKH(8aUylXShjk zp>8Y91=7#{H^iG15A9kK4(!W_$I_iT-!RVsPe@thZ){IaLlmXku3u+d3Gsm`2Zg{W z=o57ldJwu3UOKi;uwK#%c6qr!?mvRu?sh>#iVZ<#GQNnuGV+M>1mTc;^(%RtaQp~N z&yY`$<`_(gLb2dP$Vb*p<^vtFT~X}K%d<5BJHPSUc|>m9K$oR|D)6~B{MTSkk!FEq zQ$I7wN+3%}O3J!RN7VTD8Nm@Bx}`MOJ0oJh{eH}vcv%ipegqKkPTgELFPn;~zrRDb zE&NseZ&tzo7loGVe~Ib;leECh#=-Sp+8F;bJiL`vKOSe=G}}`f>FAN0q(sIsq-A6f zO|9CMg!1UIFioT~o3Y@3QGc(%!tVPXh?q(GeYe}5KqxA&zZBGZ8BGgfITb?RlmNbZ zPro0k*k^g{jD+BEY zX~qEIY%-fJ$sy;2?IgLBo>KYpLK`zW)5Rm3Zi>%A-|n4Kuh&sq5a@%PnzEYJ2*`Q3 zE_;+upC*nOlj6Pa=PiLL%*@u6Q`GkUkvZ#A!6^(vf(9-YMfsW_;azZ0+zWbu;nh-jB$sl;m`W^nTWM7wqe?_vFd|GAy6F zkU1Xp3cYmGv0TOc8jk6W*~c4AdF|YEZ7Y~Y_6=CkAx$IW#NGUYy#%B~{cff-zo`ZF z_jcW9nkLekl?TL?k`$AS3f2j;#+>O!*xR9Dt=$6V$gC|sWLem`2kG%q;AN@$f0OI~KAM(43XNQfcns&5!#3+IM|uRERAZB0#8 zC?%MuAn}^7g|~9#D~M&&tLtVcaa)^@pdX*N>1_0lUrA2)74scG{)4<%j9l41#9!<% zlu^$pCg|FdyYx*$uzt<#bj0+H!(2s6Tt{oBwXv}^r=4ke($0l)I2d_6ToAx&p+FcL zb;djD+g)<6qi=WoXc&Kl#I6x`*#u|A6s&CQq~$m}^e8_x_$L+^&I^P~{Uj199OybDzu1&R; z_ww`5Q`yTWaPO_cDfOd}Fx#l`#sdX{4BZSHyjY4Mfev5E8<&01suuZ>+cl`TgBX62V6C!NF=L zOpk5pTCptJEJiFI>$gU_W+uZHen!?tih&_MXC1Aw-V!9R2odqML#sx$SieG@Dtvn* zgwC`m?o%;soHiVvm~Cx%`>M2El5t#rz-mbt*+Qmmtjwv=W=c411S$BCGJyM`r!59e zmrkRPZB0KM$6E^!>tk1&$< zFUvRAK#O?MFaH$dsmZJyQzuoMZGau@ZAM*bK}G+}?`6-_0op%2my>2|((P7phzJO( ztCp+B{;s`iVR(L%lbyVl&zhH~zX0A;XQC*U+ETS95aBgj~=-*ZvI>k_@ zuD0|A$qhB`hf~$6Iz9RdZY6?Goi1ZU&T**15OU1yua-`?~M^Cjylf>xuxxYIcw zJ$+x7_nQgFk^^0SDh^*!UEtrvtS7yY1sGE~+S4f+%1Y;Zh1^E_{rnM$!+&Y<9rokj zB~ChbJ$SnaeWb*$W?A@QHY3MTDJ(&o+W^y5jPq7`RQCsn`-6j zkGQeZlL(9RP_Z5ov}`lG;kB){jowDqIiFbZ;Xz#bk;(12+u>6XTFJp|zu>)msK$#C z`P3ZH+$l*>@;sZvL~n+6N#u}Ti|=mo1`Za_ir=)?`qv^udc?Ym{*6QHXC_!FhBNJN5iMeF z!@gab4RJj-<*oaj4|<@^)-Ltxt~F?LQYkI_(SCT4$}K{nFSpO3Ig#_a#S}+JgPxKH zo#lkjLONoBXz&_$o@lySK(jS*ud*g_CC>f&CLf=$hZh@*2SLg6dcxUA@?5ul==y`l zf$6v)jDD@hUVq&BoY78|=wM&`EZD&O-dhj3D9T zQ~1tU%k{sf4ZJtr$R3>MsLy(GpMJ%lK#pC>5qLmg>UQmCkkaSpt>6dz^$ARq+_SC; zcZDhPskk2lKD*?T#XU$+TJ;wS48%nc+M!}r`sm6LaWB``b=|`#b;Aj?B9)M z#`R*{z4e&Ty??sS=0B!tBgLARnm<>Y^Z&g+2)rDv7dNsR+j!+ZI3f7TkKy0RXwvQa za(tym@QBztBYf}YJX_yC^EHi`VHPxzhyT)mjPGZut!Xkt8$2n0svGkiHiTF+Yxk$r zA6TPwzkFdb4Jd(zjG z8e?0cQ@r6Mw_*1vaMaOT({?0o4RL(;CNR1%AVBYEBl9Kb(4O!BzBT)$`|)lBv~`93 zGwNX8L#+>Ep22$5o+Nt`>k4Bh@l6HXa(UtSm1q#WChHpP)ZS`P{pCxcGH60NGJfWY z*30IQR%Wtvx)NZ;*;~qMGKTius+oUj)*%SOUQdg-{-aiH!U-i|5W@k}6Vq#>_1cn$ zov+(cfSUil_~6yVb-E+?AYZt>68|CbzOxj6L~^HQ3f`Rh&sd?BYVLMq?h)+g>tAA_ zOJaoIqsEeo%rr@EJt?n!QS%RPB9^RPk>5S8w~6LVnjCq1{68 zj{mMxU#-Bs-m_<{PhHDAr+;V$_~!bIPuJ_O!aqu`TN&x~({;-py30~9 zY>6{uYyME!xsZ7a*YIiR3OS0&q|QD_^9v>_@zH$k1TZ_#zg+(y>j+eRzr>$)&|5P4 zW`jwEB~Nvj)oJNF&{=VIG@br0(`9M09j}RfNp+z_F5B_Iq@}`WH~6N0{(A4>cbE6% zu_6Uo)PLj*ZnN6RLQx5L$jz_v&MO-~%HBOoR=w5}5_dZW(|E+hg2O^WagjYc?;3o? znvG(`&=oxtgC&~2mp{$(l@Aq|Ht1w5L}WGfOq>*EP5;i?G{GAV zEqZ9cTBAFy2;q=n&DA*!)K|A~(q05i#V&OUh#`uS7&PSv7yan2p3QfREs~_y(>P+h z!OHJ04!?rX7M63j6kNt40w(}3d1zkZyxt~jHA~tS6Uo2=;8WOEoSus%FIfW9D@tdr zo7$VW)bf^!@DUf$su(6@=ggXy=NB()KM&0?D{i<*D{PjMm37LiF+$$jNqhX7@b`Yb zt|BZ6$Oyzrq*^WdHusY*8H$)1kMr90ss?SDG(7nkSaen$OcpUG_u&?7-9ciiIoBDd z#WC=UY|Q?=o3X^U(cCyUQH3jwF=ar|*1-0y=3XGQRlN>R8el213XudRQGXla=cq_4 zCsnxWsAbTzsjM%27&x}l=k1nkDQ(A6NN$n0Fpb$!(~#Wbm@DEzG|Wow>jtnFm5}%q z!DgpXhyIx?DqcHXVrcdr_lJv03rfe-(TX=#&xv z^cH(%XxO0$a4Y}CZj^=B!ENJG{2X#l870sD#*5>CP(GJGNH?slqGsu^W@&9pupHO0 zNby2Btd+ULjA?B=PTA48hEkmnksAENhbctGOspR12ed}e5K2Rq8am0n z-JjIe@s5uuhbUr^QiXg&R~Cj^q&^vGxQ^zneR!uxPU19*2%##X&}g?#06-a-{+d}$5n++sS$5`|>GEQEvqN(?)PEi|?TkO!Xu4RTOd>2R$ zbEBL}AmKa7ra5iu^*$P%eCu$Zq?MR#8d}j5MN3>uIK*Xt!XYiZI!MLQs3tYw0$sjC z8e6++i^%38`$E+}gT!%ZYmwTgGI^26R>h1BVylVS-(=?>_OF&Ch86^wpnP1`z}ECk z7;171`p5OS{d*0I7}?&7;t`3~bR)|vtl5%9i{Q+l2w7(t^Vo0%^?{dypWY#{$BgnM zLHvXNiifTKEcGF^wn)VYMJX}hj~jqTqa=t&G=n}o{AEno8ug@)%y7;QR`v$Y5sXj^w32Q`TBp$@$mA40B{ zPX7D!3HB@^YtlcyG%jeg=n-T)jYXVw4-t1M1(dCJ?Q(--Bg$*rrI21e~! zf#J^nbP0B;=F#p}4r#TGSH9G;m;#u|06jw{1V)%RRacS$u!)Ox^tEqwr-Q}7J1rem zjq}pl)jh_Cs8lsOQ~T9GH>D#khBA!TK;!E?(z$O6^JD81GDZ4y4xw&1Et<%E1?A24!)8OQV%St@G2Ipg0<1o}{iddqWbA#K~|Ij!D#sA=$nV{;}=Ptq=D`4uBucT@CVWXHF zQ4PAYIFN|QH{&)`L1JEKC2#G?IQN!28Ja1kwtQqhP|sklEsF+zCgAn2mi;^5zmp&E zH8A|M&R7wYoBoR6V>i&Z!BAv;%^xr8+jD~!shF_Fpz!ZD=r{%qc)rjciuDH>_#K=YkTs8 zZ|o4e`eRL~9sWQ&IXFM~DTUTHf0g+3xW*Udh$^G%T91%YasKmSVBKXzY{j$CF@>^v zoMXQ$O7(}^&zAgXvAKVUQAY)JT3EnVHaZLU4mO+lM(NuMwjRk_H=u9IAr;U!=@1s! zoqEU%>`p%HWIHN+7SCvyZLnhk0`=k#O@Vp| zhcok?Kc<+0zol;j*=A&Jv)KF+4#U~PiagXZ%nLoVGDPMHlMbnYD3S|wQ;G9@BvZb? z2dM`241YGc`O^9G_(NtOQSu==kSOJl1W1&02oAhRJrn@C$~4GiconWuPoV%WQp_^h z+@zdJ*tCn*NTa zUWai?8mK1eRK+$?q)9oY1O&)5NM=|SYW|q=2I3c{Q%&gr4<%W(@pIW43frVJ(&rbY zSVc0lfR=@2DZ{jK$!y8%~@QZl7PM&CSA0;P5a9S}x3ky_dA&CU3fRhOvDGUZ;m=)txoIg$SeFpeJ({0qJyeH z(G%<+x{+37y$#svRHd*yrXG1bHTjxt9C0f-&O(~ybciZcF5_nDx`FhLs~!CTYKQuqa0(L0$5^?D5jPU zD5ex|63mL1RVO8@s!FA*s;`RGR8`E}Y3BLbh)g|)8zT$HX5`gQsdBR_Wu>c1N2@@X z%2X=*@}RIUn(BHBUsGj1n~S&DyL%2t&gGB4_Y`mT@VVOk*%X~zO{MuvO?jk(>^1#s zm6PznE;IspPf3~q(d5DAz`qXz(*L$=M|U|nD1P-B66_OLc#L&e~^9P=JIFA}NuSPssZI9K3Iw5GyG_{_MZ+ zlh6}KNaYc`ubbc|xsBpXjNlQqFPFe6sYm4zv#*+vWzNSh9%5|BO=xWFs4e*Ds?Jp7PpwN z7^jlxE>$i`BgIF)AXOYMOOgK5kz&jQgENA5whF=#dW<{)At8bsQ*vBt{L9=loES}p zC*#OHhMO=)ULYwgDV~6ph!u~OfEBl&xF63YZ<&OQq*!E-6G3+LP{@{(`|KN!i{#wo>^fQ7*;rGP;o z#S)P`h!H*(NFJ{vo`B1Q{nIlpO$y^{pgWj281GDWB0V2c$SOrAg%lA{h$+P;g(YQ@ zw}2}NCB-F$EA>MPE+T$VD4%LB{s(zhF!#ovK#o}i27moNM$je5{0j34{SB-eb|=8D z&%++Y8*(}jU=Nc8;|Hl9RMVRZssSNhaX%q>Bgh9Nf}ozDypaSThyzi3UqOr@E_c*U z0^OWD6nBD7=xr!F|CO%@iRu-CJ6<83uu%ncLRsqBuaD^Zd z(06wPLa-^2)D_e!>O(-+cd(57@&uJySUwaY$k;%MeCb4@Pyr|+C{+K~J|6?lR-AK) zNB?XC*j1DZn2p{qWR&J!WlTNg79KYzkaiV#Gdq)gOA%)-C$4a^d*y-BH|3TFkUf4MQZM+B>)BWZ_m z4>!z~7AMIx`UUG$;S~+m^_DsX^^s%h?HOD>LsjqUsO;izVRZDJmRme2X@cT%Ss;XC z*9+N6w^&7j5H}w79R@xTK+C|vxynva-2{QIyz3mN>mjdPXWRRgo^sEfQ7P+MQj(6& zPK4k_L{02AdKZ;e3)Rb-#lhO~N`ZptS=JocP1HB!TO2kzdoFx@{7Ksj3k{~8KZXKM zZ#|!XKTlxZ9=)0FkcFeD(_`2C(*^wkj0FnG;EPF~(iwl=Hsy_Og7Q8qQ&y^vuLwlI z37OQUHiQW*pnC%Kdw2R~KvY*`U;pM&yCHW1Z$X4lFj>&_AS5p2514Kk{Q$;3{XQI! z(-qzmRu%+RAN&>k6RtOu02HzRW1oJn!xfP`PAB9xj9ma{9|6ea3f~>O6G9J$F+eZ~ zr;phls}n2-_A7-EKn7xR2k=4Wz=ZV*Utw{9W%MP2;J;$QkY2)IY~a}E;IRP`ePkfR zD>QdBKClbe$AB#ZoL1O#DBj*J1AP59TZEuqSw?+z8wM?H;Eu0SIRYl?qEV2Pn8see}!m$X$;DXHy;~&qIf3osE?%X?kmJnR&zgrwFLrCzw>Jz5F zU;m$jRPO&E`~5%pf876JlT`XIb%58DP=Q-y~J8 zooY6_uJHm6ubNZqst4L*>UzHESBR9}w$sqV(>gflHceko&)a0-4#Exw!uK>wDnk;jOZ? zcE#;ruR;19VxCfM78hGl`!PRv z{n5t?ClpE~PNhss#>PhX>`V#U$^lrgsAS2W?vd+s(UmA%tM7ODc;q&~|H%EyJ@b*p z`aK$LJ|BFLbf=xR{dK$ZR0#WL2rasi(OI+ItxKhzu}CQw%JXZ_oZA{U!~26DsY*L8 z$wk!!$G^AX^1c#UgJ5DZl`H+OvkotTR+>iCKj~t>)V2_zi%UXwgt`%LJi64aH|G3u zuPH_^1Kx_|GFM#4hB@G$7ve5E^1UR8)hmtA%D?~f@zGv>w9$8YwH!t5_4X4+(yYWU zL#tGav6fIl3L7Qx{6L*qFfnV}*=^Yu3H-B!1IhQ2;EfKp-)A9m1NmULxilL4LCL@Y ztpy>Ac7!iO=rJ8-zv>=Oc5XdPzb=^dfT=en2DnQXCH(zND%N&6LK?ts+aJnO*g>^)C-fNz$XUUnco1 zqTA^Q*{!VlMF{%I%;zaHO?Uh;WGxJ6 z(Q1h2uHubpvbEn#uJA-5$!ZZq>df${D<_@Tm{Trdidqd$Ts0yQmxpM>!9e`*=zKOv z&kbQxUV43SU?q}>sCLjf9O9ox2T z+jeg3mKYnGlD2D?Y0{bB0axDx)sxhK zZFj!qxG&SnecaP2=TkMK=S4-RA06orULJ@4IbqnYbd`aa;({Pa{MSk5AC1ruUZ&#}A2AWGtF( z=qm5AM-%kI*aBhA0Cr@7|G;In*tOR2*eG<*dMr;1>R%f6G;{Q{=`5<$5?=7R(0_!B(;hV z1BC@EN8Unb4(!;Gbdhc0pDe?5sDT?ppc{u>R!)_Mf#p%U(=<1mR0Ia*n|s4xRzw7{ zoHwa6Q|fTnM_U}(6$h5>*{KlD&xi=BA$oho#LPGuap92Y>t%X4zTTc#a_^k2dpipD zmlRkIx@s$V&R6AX?>u@Hy6oGo>a@_SH*{QV>^VcD?S**eq~p4|>rO7TgluV-j+oPQ zU1rL5P6)Fy1NTC)w`y;pKyWF?nySvSo;7uC zW!_NS-;NwKfR z=zAbVpbaT0a`&cn4MHmXywa#-U^nBvaR2AWzKgtG%Z@9hY3j`0tXb@Gtv3A_+|K)8 z92m02Tc?gK^J=iVlo_h$$j9V03_)Fis0410eYX{?mOG{q~+ST+Ky-D?)4V zS`2QB+@#JW^qY#?k_`eDGb?@+%)fjVKvne$KXGJ21}idfpIZiDh@zkmL6?++khBnl zG>STVkbGEX;t6Nj2k7`kJAD&bIhMGfk7QF&A)+a1AM#SGjAiTOiK{a!N~1JRtu(Bb z&RD@IX@EZk5 zDd>v{r;Et|w4xE?04lk<9u<+S8I>Fto9VXY^k%M(qu!LL*w)xu&nrli*92@RsR;Dh z9It@Ot?jO_=+kg-{kf4N$s4J>Y&hcsx_Q=3b>o_bX%~^`=o2xmCBif!tQkE0W)Fgc zCgehS;sUKzW5@Z^%}8LADaBs$Wa z9~z|;cIt#?&d5fpn?#kka+Bop6x2osBTQ8(ZtCLH)XKBImdp=Mp7xLV2ulG87M4k+3x<17@frBItWrL@n0q zbO$vuzOP4-1L7>sd($hgi}}u*_x(cG-FIRWv4h1S<~BI3JzKeh^P$q(-miyC`tBdB zxoY&Y6TnS1fR$Sow?a+<&|o6GU@ilal2r$PnrhxY&}yUOViwv$^H6(@nTiG25xUuv z2Fs>SEAJdjsphCZETvmSni!G8e8jB7&bej(WF+&3eQe(YT*QO$&G{<luT@`zy=kuvvJ5OXp`Rr3p9 z^u&cxb=a1HJ)jl=#Ko;i{RxYqLe9V?!zH}fkQT42I{->$w3IEBrz!Jm0f3=y=0O;X zvjy+sgt8Nwgh_8ihECxsl`SMUk-0O}kmbz%1=N`;;X<>U=TwR% zn5To^Or%gU;e)`ywCvPG<%9ywcP3PN`;djxFt3jp(16 zD?L=aO`=hm(RMU!kLuzU`z&c&e!FEkh|7^jlBp+bZZ#MM(na&83`&X>C6tBUg{d1S z$%$B-#zfjh4x%?5yW9T-x~nlfS_dF)*5^!zwv@x;x+<2h(NIWntgy=eU{y&)`n{h+s8@^h;e`907L{?~0+zzt zlYu^(*Ktj+*_q#g4jQ`SfS!~NnlvM^IRfwyzH-4egHdE1y9FCJXo4pC@a}D_D z6+C?^CgB3AzDX6)@?vyxrwnbac0WY;cxAcreT(u9g(lGQ4?FwQx)S|U0D?ia=l;x0 zvn?G@9TtR`$xYQQ)z8l*w-44)kA_`#=_IP8JIXS-fsiQi(lZ?Wc?ZzgZjHFSN< z&mTpX+h8{9QQ1lOeznG3@v(M@-&He_c(-`+z~>{?!ur>*aOR@W-_aTsR==)9`3lh8 zM+P`W=OR7kBC#T66)h56vQ`50N-2MNW8Zg|WA(z;6PCYx7h)-!(-f1KFe?oLqNyZM zRl-&tmkQ#i=pcSwkKIlxJMMX|!+=w2%|3FroEmtKVwr zbKa!(t}y!WYaL&P zx>=UYo-2#8&|--N(iq=l1z@3O7E-E(SMZ@$LOH9-8Lg-WaY5!P%@Qt!3neEb=OZK; zugEE@h7bh7`+J;|>~n@=Llr?}5ACKXyTLIQ2}8|7(>BrV3S~pW^n?_5WMF??rC)pU zS+SZ{Wh*!}B}P{z8NOo@t)kt*giEN|2tXoSfVyA(0cpwe^+3Sc2J zm@Z%l5~1|`Sb$Kh3?IeGL;Wh7`{S3$XY<< zKmUnVWr92EP3poZjEyqxV!YfU=rzcw6&C10V1z(86gNwY!r~B5?lVy>559)TjG>H>U>TKhDJsqoA?-ZAr6i9{AS#%5pFokg-(ag9 z$9{;|p`%YNC*V$8Tx?{trdcduTR=u4r2yH39gnC0eQ!HLK5jcQw2&Kz9M|_?5fU=> zP;8()&5ENw=9NWY*M#i0g0WBgM)L(tJ+G@E^~JoR=tkcQh2Or9rq7j!Ik2|_`RM#ir@RZ95bDn4)2qV(apjX>C33tNOnJU3!h_CLGks_k+1=ZugGH~t3B;I zlPmUaM&=R*-FMyUAUBg(Jln954L3`r6PiERKxHU;LaLGK*7818z4N?N$+J1p_T}Z9 zwv4KFT*k7=%6%P`4XI+@#ED6z+}@nG1K<-oum@`Xk_VuTLcH$gza7m&1#juVAOFQ* zOtdwwl!Rp?gkO;{K3w0QeA%8}PIqSmS7HzAGZ zeRK!~ZN~?@64^BkMjp=5>bApq&D9CzlU{4HGryDk{skjwUU;5%cV?jheovc+`#y4L zGn^f{cYw8=*~OV`?VLbM@0Zq&w^X58D)5~pO*(MBHtph^JKtR0J7)6JJ>$PtCO2%O z9%K>y5m)8nQ!W+k$>Lu+Sd&?MT)EQr`G^~B9K*-8gQ%{k12H|r{&=KkGrpNukA~o> zkOJ+QK|{wDf)ssQ4fk~QmZqxOsNavuQYHtx97&M&D6WJxN&5UU_<(R4CHM^8o4L(0 zhYbp?p16-FJP`eXHZ~7bQ5~Kw>zP&KOcYiFMW+@@=Suy8OUC)%vmClkX=Kf!=<0dFrBi!DvKx_BPY_TT+l(sDy%lNQm|S|Yl?PxVZFZQ1pBYKz z*v(6Z=%ZgcYbe+3YX;TSxqq;tRLtvaQL<8PB{GY-4sLftz29F~qq$+xrn)Q;y7pKcbv*6XWB&o#f5d-WBNzIv9t!mhCUQr) z8RfL~ZZlg2hBU6BD~oFj?^BTEec|!MEm8z<&O6Qd5+&nu*K&=JR!cW&;M(DUYu{VN z+-^VV@9?vD?TdfVANS6;$jK4F64}x5nZ`PRU@TRoKu}%s1sGt7H@ror4oHn zW)jX8qP32(TM=Wf>6~eg-syjEi8l; zOm5gNtq^=-%XN&`-V{`QyfM2UiXkC;DIFT@{2QaEpC|q!l&jqI6#g&FFV#4|&0nH> zr-M`5gTe4(WH+`NPwM$7-vsskB*x=#jGx$Yc8Xi!r`aFGyK+IbsJKb{^E_dR;j>0V zmwh5O8XAMlAeAereCadOPmpTO=^2%K7~-j6Ao98>&1uFAZ?0Op0{{;DZJ`; z<xf=tUjE9NLuc$W__5hjP316rt$UI^L z8`hd-m2*=qQ|?NK47}XC8&&H5@_C`(QHkm~x8!5+J8D+PAvbS+o_X$f> z%J`$pP~i6xFIyjvGHS*=)o{CSDuY-i2~$+wh(Ggz`pety%GzX#0m209_g6zEBe#Y$ zCY8IU!^_Kyr%fzL2_m7L&yz!^;mp5pK$dks_~E`_4Gj-K+^bbRWc2Kl@u$1%jUMce z3I>h`VqMtq`&xIb;XmV}Dc$b3+qb%1M+cGrQs@o0KdGwPU0()$>@I#*%lEzFWKWrh zfeomIKp06%7{mb2r}(w?P&BHeZ|_veIjjxP^?w`OXkljEWY>%quqoN19KHrb)~2oS zCmTEp64sUoF{E+DQV7xNF|a*fKuG!3Rjp6i~5{9q{~zy? z1?-ExJHs>CbxeJi{7Luly_O5zflG+*fj~d1^XHegoe%iJQt4Um_ix%EtrvX{KE7Ws z_;Ii4{w)Y!{K|}z?yO7(mqJRG>-VHPjh>d_H+uI{*w;i{l_EHjQHimKh+HH_l0V4Y zG(_DXAzpc0^vcG*^VekZm+yO-gEHF>%P&!D=B3fE07Lp0fjd(@@qFUh-`>csxg)tJ ziXp4ng546X=)%%7S8gn^(fQh0%sb5|ohSS=_A}Tg^NK4QCxCB=;aT(6+!Ve5pD>>Y zvAM%Da|&#cR>5=lv08qmSraGV4%F>XJP~@sSnU@U!@&h8J|T}2(Js_mU^;5iXfxD} zh@R-0Q0MHv$b2ifB--EwS~KttT&dUst(JlD&W5^vo8PEfU^WeyXt|wRlaldANi|ssAePbJ@?SBI@tN*+DY2#8h-rg_XAS}%O zb^uRjDPQ}X*i=|J=njW<0)FBO3p)+}b^`AV>l{l8z5?cT(l?iH=2TW&K8Y?ay?KZ+ zf!{lho9pW+zO}U+NgqI)=sl&kgJ=Iq%Mh_E|Khor7PcZp##dLRGx0g6`O1JK+#kcS zC~6Ty&HAFM$eg!S)Zq$BQFGedXafcoQv)HAI=ta6E3ESnaGaolJ~5pB&+u_VaAdXu z1t{rc42<9>2pbCIX17j=2wxM{j1Q`Ff~~#I2g7&QRTB~;?EYufXDKvJLK;(13QBTB zoXM!@I1bUw^Hl*cA5e309w!If*H1C7Mw&T_dB+PmUyjQj>i|lC@wVBalgu z3Oh~{eY_g9j4?4|^!#?gy!Z)6$Y{181szm@_yQC7kS|Dbo*{_`&YBe?`m7$X6TUxf z()=C+kD~~2TQL&Qj8N(l-zr;I-LlF%XluH|9158=PF&2MYX!0fvLQ38`_CHQ9qYTt z!NJ1IHg31D4sMWMukgnZqa?lcEBKUs?xord;bSgDFdb~mWqSTu1c#b{*wT!H<>JVb zBrotit3R$!!=jtk-%@-iVEbHK6>b);AT%Ra7})ncdH@W~)fKwpBB)f8K?&S@|K@*n zF)rc+w3VSXN$uhdkgLTeonq&mig&I>)8T*hg!-Or|fR!B^8Reqy!~e7v7Fqlb?^Jq@3p zQdi}-^Wh=85YX70+AI`zW2Ew2VU}ZqLMxqOI8?omV#(WcL zMI7D59TM1ue`K5DKWQ(H$>zz-T;n{!9snNynTZ~OER>V;kSm7GDnCHDpswNjIh?go zFO2YoUIj9--N9Y@UekEU>(F}e(_T2%h~v0>P_&!q)XxdJLi<%N1E10SrNQdl;oDrC zA&kGkvKqwubf&;e?U98v{+#ZF#JQr4U4KFxtM-2a2?5?+KK%Xq3O@P`n%nM}m%9U? z2fvL0j3pHze%Ng5MZkZ@&?SF1VSr*das~B)EgFTJ+c}Al-fPfNm z2bmRZ+~Y=H^&i|DcHF}bw%xWf%6EnF4CN=x&Dw{2xQ97RWH5hWNDaaXGg;8O9idaV zLVLqE;lY02Gqz)v$$$^k2H8!&s}8p1^fDcfEblKaD4hC)$2g&hx4RW?h@QO)q*?VLM;$uQ?gqVQs^~N&pmk z0AN0fF>Z5eTk*8)Mtp$p^F+`^ODFI~+S%>@glpQX`ZnaCKs$h!<}>>wwtD0J9cNn{ zpBw*4gwOJNIN~N(!K~d&-Ov@2yp_hdi{qg?fk9VO&J6V)Jh)rf@7ed?2M;|Qq=)I{ zuHxnM@<=0;{lJ@aQuV~HFQ48VX=F# zHP9N{=1A**w!YZxPrLCw1t9j>jaQ-FxwTV^bTtNYGA-%+_~^#eUBp||UF2J=F8SW! z-SOVh68;2xfrHuc*!=1Kv|ZyjL+UMLRLg8}Yh^e{#`11Hhq~hS_wF5vH|6(Ex&IdW z0u5X-Xj72SFvWreY{Tp$7x!h)z(H-wz>>55*K}`)$>2Ao zhzG(Nez-yb^ochMP#;rc#&J{zA$G_+iT$$U5J~PszIOTMR|+g+fzkzpGy2JOAmkJb zL*$WG@4UHEjn2g#+bC2HbL8Oj{)1;a#Y+9rKdZ_A>nBl!R2e!MqAX-x= z!f$D})=ds3YKXZZC{7&FVn8Hzjt#E5@2%K9|A3p=Wy*-IN&=%D>L&TBFprUB#CG?8 zn{URNeJXj~;2GhqV&Y5EgQ?cCfE?2iY_MD#Sz43#rTcoiPp8aZ*rJMPp;O2z(Trti zAjeJ-J0yya1WbK|Jjsr06REK=p*;b4`lo$5Uztu}GJ0A*tdO4|+Yf6i@LggroL0>i z_L#^RUcB$PR2Mro*KK8gSum>#1{Stt92cz%)*n|nHQ09xl-p{x zym5)-Z3XjYxHnC`xv4pyg8N0im#EgPyQ=Rs?gj9*xtEP=iRSv0viog#=SwQp9m;_n z;}U`mv6Ep|+%k@QwSNWS8xm!7AYN$n=i`l89!iR3dW8siMxf$G~X3B!1>ICj_ts}kfu_x>ZjU%JN4<)Ve#%_VX3_^c>zl}x_N|+t+!-OO+%HJ(Y(UBqMFjw;OA)IWJ2Ki zs%rfv<-)n7rijimwVJw+`jNaOdnLPt_l5YKlQKlvvVyjGP2YJ9XIL~`G=1kn#PYc+ zsJ&3DA{no6C!Xic`&*q&)y1eg)m{3L`Z^pcd4lgKDF$Ponzs67eZfmNb5>f{RyO)7 z{Mq%nTQkaf26|W5W)-tb=-i-+a1L`o!J?@kii=+Fa*%9eRt;O5 z^CTK4$}F^UR$P!qT3)IkePClSMekKB%DJ$Aa3o$~1r6t&^u)4?`eEbr_ke^KybGt# zdj=Zvdx-p|v-YLus3-4GL9P6p^x8q1vM9B{#}0Ve@E^TSg?&dGCsFeMxDas8=jfFu zIb0$LIxK@DscqCXbA?1IwqrllOi{{`c*+KoH)@Mxm|w97YoE7|Jmsfjrl%W<84^{h z)HoH6n=!$TkB!N=sw7LB3;IK{aq)XhoiQ3hJv=U3we*e^lNm3u$&XE&7K$H7XEfhG zO+ohfrzBJn`fJ^qQ&3{M5z}+~+WgX!$#x1^xx&vjrD{2*(pqAt@C{s}y=<|1f_>EB zlRi9zlo*-J@Vi`rvv~r}PBO#b+FM_3O)!4QkxTS2CP?lp2{?{HNkTa*L{0y#zT$Er z?#%4%+jTtQp3GXF@vhlYm$^A^ij+0gKVyfx2o<>PEc<=M4`JIelLsRkp24gkbp9)Pm4pFwNDk&d zCi}V|H&NJVg~BWT%@U4RtZ9*d?L>-O`kj`(+L$Uyn2dGC=)epv2PB&`4)X-iE&ON( z>n&1R7MF4Eof6`KRUXJRhW?4tmfkW1*H0CHagOekR&B&Q7WoCDJH=z>u2q%GRL<~X zab;}>KQB&0zaoqr_sP3n24HzGnj}VjR=mdPmLU#p4!_yQM~WV7Ua43vVz4^L!6jf@ zXL3|ia0zQmj+2*6@eDC(^j)7=AA61&Ja4e{M7#kMTevhUE!wS*zM{mZBdAn{y5XDW zx`x0e1!4eN-?0W9cau-w7TQZ7b2liAY!0|B2v3Yo7Pjl8XZ_~6ZO|H%iUGMW!wPsC$T^0@-T|CM+ zf`un3=+>5)BZ{rpz_gYJ8c)4K#W|rV{QjOfHz@iH~Ja&srIDChI9_>Lwp-C z9nOpP3DJ`_E@)_uHC^nYDZjcf%UCfx&-jt$J&63*UE6)fE^y!K%4Ucy_hRo;S$$Su zTZ>Uj;X>F0xx0yx+=DSiw!fz_$=dL>f$s&*GZec$vi8ImA;V3ht2BxY!(h3L61X!8 zxX}oXb`WAK%xpLMhfi#FF_I?xxDn~;Og8B^BlZwhBKGd^%e!9EN@)hu2A6~NZq(n_ z05MAvo8dMf?BpryOS%K7ISOyVEYslrE`rgtwxSSHjW^cv}Rq1NrgTW<%6- z{1w)SwHG?b-Eklpf_2g^pG5_%v zey*?1A0K9m`|hf5w0^z*a=$lIsthH^CL|U9FWilo2M+T+LAOBdoK{Dz9>WYw+PzDO z0UL_plEqrG714r<71jbx5vLWMBDL073ZZko%?q&$b7$~&zfVEw=X~q-RqmQ#QR$j~ z5&N3ti{}gY3+O1x2~gwuG;~|)=iH))4{7d1DKYKwwFIsgtX?eQ#-Qgr;ZF$$(N=*- zQ^fKC;63zx)?4*k=0LK+aOGR_`h?=K$#L65#zV_PbickC3k~xX1CQwxn<|zmrW(dA z`whE~0Z%BpOQdelqB#}XT8`(>k*?}+WwG|uxghTM)AKH|;Yq#5*WBfb%_faXX%yE9> z;EC9Er7oQ%$HJc4o|+sWy)AR`Nv_O{FpVuU89e*Rz+{s4S{?}RKL*NlQ+XP_f(lhNB5QO zvDd>fo;k;Jm^kFzYw{F*F?GB3dugy+PQr{`6{ zxyOw|izCPdP~!Kr=V+#SoN7*GZW)-ull{e4twV9hS)o7 zR>M4=>L`m&RE6(f#uXzQZxECct%{tuBRE?^#$P@g2$v?2b+>xK--$~2T7tSMLEB8o zJ=zQaGiNG{(dFXSC5ob?SK<$vNcHj~A!Wc06kwc^p{z3ku@wnu54--C3o0I2inaBw80HqZY;f!PhUl zMAQAUUw77^p6obx6cX>{yy8#LgxUhJ&!Xp1rHN9%rTVnxkatl5Iz ztOCW$hwwg$xI*9IfM|8X|J+b>o*N;|8O0|M?%mJ zX+5!27w{9>AdB#;z{u?L)|1WqvoA?zplu>M)`@7MX@zIMnr~P${k=Ue!5Orf+OD2J z(gpL4qzH5;%r;%4+(F8v#{Df04ZY3%@8dUv9GDXMl$KkLalLI2ZGVUni5=O!=k>r! zDZFtMV{6Ncqc>`h^Asw};KJ_4e8Aj*X+}dS-h^O!0GB!_^OYwn_2kf~3BYwtlp~mS zc-oS4ftBO9`B1w_xA$8o@^LPjBi{M2=8-rnj*VEV+?R===g@ z#8kG+8; z<1+~vVP;TPO1aBZ-PFCz?A_GJ1)iJB@0N!U0|-E#68_(o(-n!|}q@K_nM%Y38K% z<5Q%)@dB|kQAyy5mE%BSUIbrsve~_QL{oGyW)6Zdr8VM+VSCCS{2290!q$n0jDBmC z?D$%U!`htpD+kHTyrHueA5kW1)A8YOur|B%;d-~-J#v*%-<`JeJ;OB$39`}$99&4Mqbqq-Okrww8V{L8zmjWAx z(E}(DZKbWSB@t95+mEe0l)c$K8%6BYQ1ZX!{o>XV-hYf9|L}}92J51p+;&B>N|UA0 zTqK~FsC#6J3~6MnXlXhalnywX#d6re;Ak=Q)YW zWUG%t&AQrS$5@nb>{=vn`7!@k6jFVz~VFO7@eq}m-UBTgf~!Y-F#X6LO@CPmlRTJ_*DZ7q8j5o+pGRzBF$5o}FZC zxscd$(neW_FwL$NPZ|V{*&<)W8qs|$9sh*@sYOC_=sfbT$?Rx>^24S{XuV&ys_DNE z_F~1#4q`xLJot~o7DGQRtb*?E$4TbauGCYni~QDF)oMlWV^az=8QC{{`Z8X$ zX-u%9OV0Q=M-TVp9_AJ@;tqg`N(;^P(%V#jHj}PT0ZoRte&4|`!F+1T57+R{p^26z zozBB!6XEotZ(MX=%GhJ0*J@hW4R+^9C&BV>GUsF!ex>ive%L9A_hU-y;BFPKZ|Aec zBTF4*UF>fu!rBp*SrMn|hspJ>k74)6?*}{)`^S+Q<%6%uhhy&-nt1EM7l7PBZN842 z>NmO=lUK!8Jj{?KvU$lHvu}V{obZ=qmVdTzRydY8$H$Oqw?R>137bwaf5=#k%^5eu=_ zY8QTWCH7Pl9`@{03=Ji}Mkd8hDL-(Vn_gDYfLr;_z`{dgGa5u%Tf8DBG59r7FEMN% zCBe@1^d$YRyK)!MxAcLiHic0=dLfz?C)HQ6Tg1X#ci=%^-id&1M0J)0_b_gl68{}! zA7!70pU}UW7H7Z~z!zW?DNq-HF28a`qL+y7J4g13QXo&jYd>oM(0Fg02TKyP*l^UO z$cEO)>m;}im94@>Ul3fd563Y_0emp}^BKV((>%rBMZtIAJ?4K@t)f@K99WOqpIyb! zw{e1A-KxdOYTTku5mS~?{pxA|9Y_jbh@%$bR^0qexEQ~G)~%`~tqVX}a2?cAh}DrY z>E%%~Lt7Koce)@aVxeBd_|32}YZA~3Sad74A#152ur({c>3^Gm@`MQa#^sP7Vjb!p z2*gk~vVE!pY#Y9vQ0gv_7y94SqoL+7L&UBQ*{U!!E{5@xmk*$0R`DUEATENGCzEK3 z-51)_I0|wivk3y(w}#jBTAhuZYzB() zIA}^{$>~6pF?2hm9Z7V7iYt8!F%b?H{T42NGAIap)8V+#-qzD(J1yA5gB@^zv;cAa zIsu?OIsqI?+py{Y>@@&NYeKU8AhS^IfZmnz!0gKFq=R*+ipt|k623s_R92e+2K-_K ztM3a=gfPv0RV@HO=Sl=J0(5hL_^2KIB=>0kYt(V|&-M#b*CEdVA#_}!033pQ397M` z!2?Hi{8ZUE3Zlmuzqbq63;H51My%Bkxu_vRzgb#L0=Em8o1160$nNmJpB)`0CW9t! zlDo>H86JtND9CHH%Qv|;nnL@z0?7e^aQb!oVF_>x?0@>>u5EYu zVf88Z0r>%v=EPZ|tudA*K4f>uofPHhm7t#u_Lw;jFKtxT#nJ*?fj}&E!+&^pIP=L06t{wB3ahVscM#~Zbn(w{G&zIJ~puEY?YMutuU(Oq>Y1d(eO2G{2n>^ z&_4MPH`%aZ+&(}$2|L15d(9L(f6uh?BwyCtV$U{nsq}!YQlp z&?1w?%$@Ws`FzaehTz#)w`5~mr z>Wymt@SoeKR1Tk#8E2k7Fns4N<*z2EK-K)w#(X%`SXY^sTW6N`PmCo%ek^Dbu8L(= zIQ${Q&$XeQ?idAk?}cQhrTW)ALlVs?wf%|2m_p&dTIbwND;b_(GLTFI~(OIqbAs!!u z!>Oryk0!!`boSXYLrqO)*4e7X5x0y_nfmh$b@@gUn|Hyw(0Xp+J(eC&*Wq+Q83oFX zBwF88E|DmA_U(Au^AK6rAfYOaOWVSeV7co{%ri-%n^myp89B^L%PUs%L+hTBkXwO$ zL9cQ2u$Rdm@ut|a#T)A!#3)tXpSQ4%IMtd{L&l@bX6j>jth9#o~YHYe_k1d-tl+I$wSqyd< zk1a!|=N;SS3ho=Zu&sX@J=(&i{*_kdKpQOCU>+>{;7KR{=a)QR?plkCqIS=Y5<0tC%TKBPeto8Pj?J!D~RWmGI~WWdTBQgCE_Ge)Tf0`NV8#AkJw226}t((D#UFqiM)ig z>Lm-bK!ucrv_&ygq;iGg5&T#n)o1jf_Il{LVIURt5LlkDr!0n^58dRtgz&tpbDLDs!g>;Xr>)5L; z)R54Y^Ph_(2r|E5%VWr6&SJsJ8KyJ-wPFm3#vQRa)EUtk3F?e;O?TrcCobofR8P#3 zG?w%)N+Agx9D?w^1R2Aq)=I^#%ZP%FDH;L`-Ghw*aSRj=p+C|%Er(w@QN*4Zg)x0c z!UFmOi0X$40Q^nAxB9Ok;s@x@naQ3fKrDbqu}D%_g4pK+7-nFc_)U?E!j6`z3SSF+ zle1*jOd57+w9>(no|X-G^olacO36Xl%o)R-w4W=WFTfXeAHjrZyY73(8y1YSVrgi; z)`R0sGm^8%3e&qid!nPMb?K;Z_I+$O=1Jn$*XJ!C3i(QV3>P zzyzXG;>}^8_jvm?vnfIeFyDILVYr{`c|c(vfs1qh1;Za>q_a1UWH>;P$eP&;nD$(D z;m#y6@(;Bh=XgCToWnXYMNFN-#>vsaxfcZR^D+&^F$)-cHEwGTVJ*hbif5vjcix*z zz0{TUU}g@mx~q2;g?HyhyPCakCJl0|ly8+_vQiP+H?2RecUSqy(`Go``eS#^NRn315$@0 zJhdAO;41jbTU0*v@MGN>lComK75BruumavoXI0*Oxi)mphFq7#POjfttqm^nr$Km!D*LiKe0kajcS=r6GeCNi9HVOby%?E zA9V*4qrD103v(wE<`~sRjZos|y!*Dr>PD}bYdAOF?3@QVh@CsINnXcF)dUnJ6z?|E z+*Q5vSG5$=2&-jNTLj++^8UeKYOgCtqhp0>&qB_Y&71P~Bc2m~|IuWP7tm`Qnn0;H zf8`qH&1wIE2bJSw+2H2N3#v|up)DGg$k%ZAnua6uh|H|@b?H?uR-8l21&l{2UX2>8 z!UxkaKNwaS+57) zs*JCDxL7lRYRC#3j*g8Fa(0`p#Sgq~Q8~wD5zKG92@gC6~Z+1>Cy;Z3O z-5!Z9-$ujQd9N5pl3%;#tfinT0Es$ysZALSTns~Bsa_d z*V;QkXVztFqd~>CZRd?`S8Ut1Q9&iCm=)W$ZQEwWPAbOD*WKsd@0|1f|LEJ@?-;Mv z-ed1)KYPx#*PL_BHMNZ=OkI}76k$r5`--RL+I}y1>X|n1mRC)kO*f(0EnLo|rBAk# zSH>_TK(l@(u5dNw$!lvB)97=M*cnHNjcH~ms25IUL!}?7lb5?8Q%pG1Pqfd;GGEqD zmvb*D{i@$kpH`@)2<4RV z(80}+&Kz&u)-VsNaN#PkjB`-acf|R~T=MK?7`hLN;h|#5c3g1zYORs|nzHoa{k#NhVob z{Xa{Yf3ExV!y^$kE-(h7Kpr7Y9})k%59ShCEqsk-e=5=jl<*a5u2S{#N<}cFbFb7VZEt-MF#0Rm)Q3w`-~A+^Sl`lC4Xj zW~!7%t4BVXw8zUC-!G|~y?x09T8Sv~B?jc{kKAJn)lmp@3o2={wm86dN%$U;>W{kQ z8^N1IoY|thQRo7ovM0FmGY(ZJ0-lIdjGAZhny8^`T%K?WW5~KkSRUZ$os+dI?Doip zj9zWM-e)`k1htq|8cuebvry61HO)(l@;fhHno+56Xb&w{)xwp0lN3e4MbuzzA~iWb zZOGp+4r)GbJ{lIyWZ0!{p@!w<$^y;0F_KM)aX79Ihl9e(0+Wto(gvaT6}8UUI2e_l z3aGL1Z=7a|DIUAzKd;C%PGWbyF{*N*_!-VZcWl@yWtCN#DJd`BWmf^Z^`F;rS;$x0 zUcgdBZCvaLaD8=l5ZgxZ~h zz;*0H0j#WU$X)c)&H1p@iv+?0P%1)+H!l4pp*&+_LfA!=>VZ0&5Ewvg5vJ(H9^|QLHe<7-SH#;sSKiyV72ipi|MiX~h~cN&^Z;$$51JuJ`xKF~O_l3TGq7fFVs zIOk5rX4(p^%mQqSaV`2!S^5RspaOE{k;%K10(@(zCk zN=Z<-Y$L+x%V_uCmXVrt3(!`NqEeIHn^jE1i$(V=L$1lwgrw%k+**P~T9r6=Jtah@ z$zXa5DWM~KQeV}1=(mTyW33|pC=?qdE_gDhB1d?b9OJq%s!WqWDJ>20;>pTv1+zn# z@>=_`c|^>2DZ5!)%pRdjj&of6Ua}MY)RTBKgo*%J73 z1xFHvtf+b$ge<$aw`cmwljv=Ux6aN-b00v4UQ3P#aQMGUEzot$l>e)7aB}$Nh)hU&9S!&|5BVd8e>`8Pajw$dGbzYeNpZ zmW~p|2X`$&vXutBGb& zadUSjdVa?AZaHE{(lt2IK1um%64`6EU5Raf30{i~Rst-y+8tJJh(#sy&+$ErTFFm+=p0tqnyy6+URlz!}U8++%xG8I0 z1Szy|tPQNHzb*&zF`Uv}8jbm&l~?6PR$QS~S1GqEIaCM*UXJb+LF2r|k8*m!FvK8h#~!i~M{HHzI|&se)|`}-3GbKl?JM%=l)*!UhN zZ|o$!8&FkdYMfkM;*1=$R5_BY3tk|)C4W%+#qjTQa_}(mcpeS!#P+=)L_5wJftO{i zja(Y%ACIapF;#tuVG4GTcvcnd~q(!b}Nn^ax2&82= zW*Tn8Noz-=FPamDX037-eJf<0X#{sVTxNJ{t;+`Ss}`_MtA(HT!>3z}R7)l!t1jUx zo8$n-VAGjz9LKD;9k}h@I@wGQ`Zl$MaY{PGg9+(i$2qynzB4F`Q?0j)RCF@z^)6vJ zD--eQ7QFnzkyNpa0KqfttMPmv+%Ot;KhblM?Qnoy6nY+gk1z+2C=vT`R zJ!wi5&%B&C))XvnfmufPSjGv>*Ia6mNodf`ct}y#djrKV!yc zSPx6xP*%`Sl5CovVMqdRCwP-h3vo+8d76{uu*c|ek3E?aan{p`hYtkRMSPd^+?|UW zHd-z|z(wHewg1YF`ln`90~r7Jv}*Y=+de|X_FFVBX?P^p-2EV0mE(bsdX)`dpx^<) zQs9c~9zQ*N1?u13Ok@cu+td&9LcX*_J!Z`FAscf&3^UjW@ouU7pHk1+xYbq|b<&t1tPB#h=+S zwU1!=V_fGfS=jEmO4RZXE}paDepUc4nWXQnPiaEcJlly(*CIl z&j7~%KI5646~Of0COl)>*rO~tJY0}|ZRE2V8|Le#fJf;S{K7$?D!c|<+2R3vd& zZp*wSYf=J~P>Ff1dU;$!J!(F3orZOSd|b7R|94}AWgA;fx4t98kKkudKVESTdkmly zrAz*u$_G0R1^&cNb0XVsa4ux3?SoLuxWi@`4#9ht{ULcQ*Chk?2Zho6Ud`(%nyywx3n$Vd>95j=s*+9zpAN(BL)ZxmuDUP3J6`@=lBMVo1Tys49_AQ zWjV~hP5K(Od5QFn;f~=8ZRh>Xkj*4gSKFVu6XI|?V{G477Gc}axu+_J;J#0G8OCk; zk)@qWB_yY4^cySq4b~(6!e-mfCh;v!40RNKw&oK_oYOW>*mtdDI9sF}y8s;79tU?= zU7~lv$IB*E-dGyIS1zY;dnga*T1kp-8@^uVBX4{=qAdRYE|$BCz^>kw5+|oLr?Pxc z%B=3&Ja?GN{$tVyh)1&5i0!wQxt90awMl}Jt)#9#7E@12&&hp6g2Yk-0v?%}_Gs@n z=!m`$wZ5`*%eK|Z#?P-8Kih{Mgd?jbd0)GCD)SJliNq_^dV#O>rc&KWN4_-}i^8ay z)8Ac>`ZS+Q#j;U4eSZAJAo?z2&wVGPwR0eDgV|}8`@wU^>ZNqLIGA_dRH6BFJ^VSL z$JPdlm2LsY?n&K#WWql<&9;5#`FS85{kckuQ7_HyeBJ6`enQpkDPc8*xp0hiVbek- zb2QAcY3#UqZB(k_Cvu%6GFZ^lk;$e!?fO8eFqElMno3fyb=jcWGgiVF-$KRw%N|Ua z;&?uwIJA0nQ62p*n1k6WDXiSkFypj7n!G9@kum<{NKPkKx~AnEkahB0!7~y)hpUPb z_T^sh}9w2FAhd8L692?Ezk*Y}E;NEg_t}L(Mnjg<*f@xI4bZ=gE2h|Y|u&xvfZZeq%CLR} zA_!8_vE*2g#FrtXe{^o&R>+D|U^)l5@xsC7k({M8syCLiYE5H8HeO9=0MoiU>T$BOk9%RvK_Iipr;XV4mo#@{jYgkkje?}I0ZJ(&pv4%S zLK9IxYZs&;O$mdOWHH2$?I+0Qm=eWn$vFqZK-!8gF49U;V zl{r#J&XkssnB1V3hKR`glccADR5T+KzPF{iOT5M#FxNVGj6z27#VD(94OR+WkUhxl zcQNc4HoAGE;)Nkhu#ltcq)0hC#J9zHr|r;_F;|Z)pZFSCB~(c@m!-Jz#nuumPM@Ek5>3laI?t7Y;uMjPy6_^Yf$B1{=$T9xLp9X10RY=Vn?* zVMvPlH+W+olBVuC=n-9zj!K=}r&KB>!Y-*R4pFlvU7GddXfX26;={0y4PK-mhWS{} z(?rj5%yLPJF^m|$<~jC=$1YgrSHyrFL&b!m!&ft6c_7n`;%Lgb|3YE0RnS6Ckq$4d zH%3m`m+!R8D{Y>aUNjxM3qlEVxQRU)f-qjJ<)764!LlhWc<{71i*JBtbL#G{nc!S2 zPm8Uh7kK70L}9F1)lZ+vJnBB`Se|5toq9SNo3GORB62}VQg1{4- z#QrupWT{Ah8&5oV+M7`p4xejHOAcG*Wg|*Wb^Y{+g^f9DKtfbrMW@=0woHi-aIr+` z3N?w9vhBWTFT3@{%+XV*K;46+>_b>qZsIWFGZzoUx9>x&MW!Z}^89)O+|+_HxQ!SU z#UzIV**G>@ij`VVkWGe}+%Zc&alBdimq%g?iz)Pg*uK8DLrY0J(FpC_V@VG6bNe&x z%_>wPeXwA#N;D*);r6!eEp!`{acJ?@jl6u}2!b^I!C-^L8 zDa{(irG~3I(idoCn%(i9Q-D)C6VM7xh#|BJ0au01pa2w&1-^A5X=)@BVp36D$PER` zMEhCnh_(oQA!|>76~kmhbmopv!p_4*bP-is3SYEq_PW3rb`cpijc17z>jfCnQWEZ8ZBGv(-G$|0Z#EiuFKShhGZ>W?%V61e;zofW&^aPXJltuIH3r}5 zg~3&}m1Xxsdyzs3EpSn3gWGw^LGQ4%M+h<9hY$k<>!hav8X;|dP6w-JB*5TY7`5DVTrc# zI_}<07lNBgrq*S7i_1msRKq0SCu5wkv->e|{;pqs`xmb`|1oSQTCt=Te@U!Tb(aTtlWG$$0?l!;oS$aAZfFSH-&eb+?J(Y zWf$-&FMMA&y5`9{pnc=RX*sAe3JRd*V+W&GHh_I3JdLW$nHbXvVmEonr=VHr8@`%_ zevlp01;B(~$@KLvMJKj^MDpjaaR;Cz^&)APu6=i#f?i!SlU_}!ixV5#Ue-{7o7T#5 zXw0R)dbY~FUo8tL?9$wU(*8iveuELTD%yM%UWZpW0kIvb2z*+-AlN(q)(qD+A`jPO z_>f&J8if+ptYzmbJ=^KIoX_j3K8w#wYaWfuW)*^vXgM-Oc76>3cAf)4cD@Z9@479h z;B^&Kw78Jt4B5m-WUj}#U;cJOq~P@!BQTgTj~8-s0M6$CnZ+Aq*P&#%{4&YHso#z_ z+0E!`sF_7!`7tiBii4$qll_3fWJ*^zRJzfI)6l6C*O>M^sYA9}$(x(wG$Ll_qQ8ef z|6FpJ_x{97ZgYuW=em`NEYmr%*DLwB!^;V}-wo4W1mZKCi@-3}WN!F;wEhut>BI-yIiviim}i7*K>2{#Ef2|o!< z-IF57c?9Pf@JrBa8k+@TfbfgfY2NTC3E~g78t7FiV;7XNC1T;+*-3OOBvTt5j4@(g zfUGk4osxeTQ%RPkV!}m_)zUz4b!y$iXdkMBer*5d%U&v5V8LTjt({mp-9aFPY5%co zU327!WxT7!DXYLG+5Fi$rvV#7y76t_4^v@%2yQgfy`4!?oT9Sdm>XI{eNb$L=*_FB zVD2l}?Shw4FsCva?M*=BkU{s@i+2}t z^kV(Yc!aF&DcVHi-9J~Z<{vuziAZ0;w?(pYWG^{LjFT#FUcfcI=>{_LHs@-1cfVFW zeetK@C-3f`gP(wZ1V4}N?Iiw+sedl`3Ha~9PZkcg|F%4SqPm~G@&MkJ&n5i`S1(#w`V}0YC z!)w`D4oMGII%+KN*HXHQ?P#juWz8oAqz2U?(zlCE9mvPBjWJ)>F8=$rt&O*fhx-6V zq2T~<#As_PHXBqsPyKfa$epk94CUutR&NFkuFyp9u$1S`RvUd*C{@GM5szTQ+`GJh zx4u~tFT~LfQ1|fN?%}V-li<%#*))5n?0oa`h9zG-QOyrqy_WhBnHGS2kj)>SmxbR7 zA@Cl6-|(jmKi+uXcAvn$B8@WpZ=&u_Gf%^(virNG6pM_F^tVG@o7N2k@$A7@nc=3I z?7`h)pT~7b)qb72@_V@fyZHWt?Y591fLiQFJrhPv9TgoijYXaG$H+Y2&GvwR#d|GW6utHz4{42t*OxZvMRY~# zpUH*XUf6&vG4d@i9AZaMA^-*HLFzv3A@(7|FQ$8kDl`=a%8zxm&g|Bh7ZQ%V((8Lq zT3J_lS)yS^g&vz2LhDwPA?Ev(s2tepCG(!hd$HS^x%f-jOm61h^HgsPHTy9{COx6= z-{fXA;yLQNe=<+b^B3J~3kQ)OO8bo7&FqIu%Gi3J)IKdyCRt^)4vWjvAL)o}DU$mo zU6>Sw;@%#P+xBPOVB@!kks%3&Iyk zQ-D3WPq5}*Bk4Cj)p+5!!WS22yp4ZEZAG&Y;VOr=$nY#aZM;Wo0$=;tv^l$L^`6;i zeLQgzH?UclhEAtF&Cz9$X=dw_p~ziS=;^9b!1EQEW^_#Idz_)0Le7}RcJ-i7)aL&prop-0C?`DPYV@s`epyyCJc9} zc-8rF;(nA=E)lklfftE$%ABBMJ9GN*$o)uXrnNrdpumqa-0gjN@Z=*o{nO8Ko9V38 z96dSZ+RJWpaf=Gyr~=Vk&3a_UvwIu4Fu0!Ghne9zBPR*0zCvJ zS?QivJ(=M9e*#tTN1=lB2Y=3GgEwkfJKj?JFidi5AJ< zZwaIxDPJ|oqzMOaNY)Td50l}7uB~}))mP)###laqI)vHsUZK+t_N_KbjoipKa;JwG z;f1bD2+SCv3@swUmeSR?ecl&jEto2gYWwbR)HF<|&}SYyfKFoBFIEKJYBEEP168(g zgdig7dJDMH!$p=DvkzL%b!f+gPZ`wusuqLlTv<3YM?7dWVq7ErGxf^|zT~O1cRYv7 z6yYw>#W63lby7DwjWMa9bVZ z7Kt|yvG#qGidTySNc>2iS{ zBywn4;iWI7v}Qtm38_yw@i?iL9U_BVIMP{=xt=ULTBl!X(5bt9r)C_OhjDmyif@*E zF(=X9Mg|nzrEUwgHzfYn+E2N*qt*b=(vTVEVKwq==(^%6@GkWdnxq z(V+903b}SU-sYp|#CJ8bq~m5%q|aFPzH>Y<^c}j-`U{R3AM45wCnsOd8OE8I4u*j) z)$s$(JL&r*OhG(J)wv9+l&lV|9v;lnoUXZLbEiS4BZzdc3E(W7;Xu!AwT-u*cvq-H zs|k-a1CeX}(U`ghk3@ZAundgeAv;>IQ;a+V_USv4!BtE=Jy#rkMzGOLJiYc2I|jj1 z%+ieOQ})R_h{Az8_`!j&Zj9@L_B4HTux(hbjMgT};@4*_y}7epuV~w`;xOOE@#)^@ zvU0kP1;71%13J9`YktA6Xq0b$$+US$vi9yirtT<1(6?a_kXxs^>v!8!Q*6(%ud7n` z#H7}?tT@W!40|BBybZhe-O#SO@034T5JE%Kh19EfMyNeo*i2VC)ma&!(mCW5CFN|{ zESvUtLX(}@q^7>_g!^*D=jN*milgM+S-xJ@p`g6q@rYd1eurB03VWjDHIeOdvE7ya zeRGIl&qA-xS5f|`qkbu$r?IIvfXQ*OIlRMaX*=uUS8{-fol2w{H)y?q#D$3WMUbnS!*&d8B>j~bzwX{O0m5v^FpL)%ee?>MLCHQjKAsG zJ`B{pB&@{m@0Fo{AWpK%8LR0|+&ZeAbko4r#=6yRANqdKYN~d)pnSMQ?cpNzPRm)P zILS~oj6!qsVl}nkC^66|RZ-h@b1bFQIbqvie5lUMR9D_UVlA3nH#tdJF}%Hj_Vmj1 zPCNAhogu32s~{R%6guUm%E2qE+ilWvF&?L#R6)69;CSHqMZ0LRl=Z~AK{2Bs%(8{L z*mj|SYur(wbbA2M6%XlH z=V-Lj4J4H+`+&?^2b#}@NaEsO>~{d!ZmYz{!i)Uyc6%xsV;;FG6;Le-GJ(@sfvxE>Fw|mClAE$dtAEd`9de)qY5L{%*HlOrBWucEombfSITT$*{-bx*FZLrZ&k$?M^ zi9c;3zVdKU{a(FTGsMp9B&*9s6T4KMXSr3e=jBNHH%d|G|+rFf(x?{F_b7pycf6V&tssXkwyhXXi`^VEV%%R%B52 zus2~4HgGnuwln+FQCR;l@BVR7LPB=#S^yTdPk>CEgaEeBH-HtO!ysm1?QG)6AZGn} zu_7i$cE%RS!4eXKx6xp1LRN8{$TBI(Edf%{vL(?_iiZLpCtD0&=^@i$zuLwjD_X%2MhbZ zazokvA@={4tg-!1HTWzG_<27+;c@-}k(K3NLiV4~0=ECD1#JJ|jN{*+>_4FcZ2waS zKD&~?QTFdJ_MgxI_Wz{;f9U3?l(GDwn*XAZ{U_v~{eQ|o7PBilC#a9d-Q=Mh3GjB)bAgovG-uQ)_Pl3 zL%O1|;PnV?gp_olAqoVe(wHCxcrtS#Zx3Z0nbK*_NXm@u99$O*KjbQ%zFMS|qL@ssL0N zKg0aSSkw9G+1Ogfe!kY$DqF;seA@J}x#&5wd0sX9?%ifnM!iu^`}-6jtIY}4sVeJ@ zxr#cuAk%-gF70#$?)k@e-xcdlT?49qj=Q z_5m-V<@*N2>_bgyXVJ`9?L3A_3C8or>*aeshMG;?hV%1CQDeu(Tgh)1r@8vF--j8N zX}>X4E`Jjcq`BB+swVn2Qht5IFD$)Fdpq%Lv|&5Hi0h|W>&O_!Qehm1K#Sndu~F`K zPnPp6IsC1%){>7GBd1zFK2_tlM0hgrF1&{Kyd$V*&GtlhYvm*QAyL zK4}D&-n*JWcgiym%faPgde>CHt}w3b(Pi-UV(~W3L_*@KQHH(Y&~c_Xa4>A(28ev1 zeUNN&#(W@F(6!nLDmZh*_L^^l0$0G(90JD?%3=Z=e~X1& zhIZ+OjnD+<*1wze|At3INNi6Csy;4)zYGLX;ol&Sg@79Xt}2984qm1nNS4Dyq;7%~ zfnnLLo&ygXs3Pkh`yK_92pT>JN)4lm1FkHV3{(tRZVFe7NUH@y^v$G*kRt~?0PHm1 zItUw*bY57Xx(Dk@uo&X98(eOijw7KKI7E>=2fiJoXvJULewErDAGZ$7tfwXcu6di4 z1KqL*$sHCt9oe!Q{ECaRTDBP2I0rapfV!V2xf@4JV6ivU9}<>E45^!03I&ElrZ$Ls>CZwR@{=qKG_cc8*eIChc+0eSAH)oYOm zKzNchOW{orvvR5M_j=6Hv-`A?35~K@w;B+?u_%luBjj`(3$J9nAqR#Z8CxU-2gHvV z`4tQsXbU5T4_NTm(|dqhQQKu){n?NBmTGN+CiO>RySJj`iVypyV)`TZlj_aWaC$FDjIa6z|h&*T) zF;a;fR5cH#i4aq%ja|Mb-NCf%vskU3vEeW)4G3h#t=vwp__ha4A|{}x?%<9qyVllX zF)&Eq-2)B3U@r|up#c#h1Qv4bz|jd;WHCfe+noa)Rk?EnEvpVJZs<*fhm%Q;H^`P= zNXAUpJ%fg;)c3hv4?;X&soO)E>(Y0h0Nf+4Xu~$W*p_{B1tcN_O1}z&LS40((!2zP zdO=xDi@uERYeQvOndZfB&yL48=Qy{vYY%akgnTZL$M!(H1n(l+PamktG#*)soCc$! zy^24#D7-RyIjWF~JswzV<5Q0b(hY zeC113NiVy4`aebrL@Es)DSfj4D4E95XV#}IGLfma|e7Oa>7 z7Hqdd*_EDUJ{U^p56@+YUOz@)#+l!yMalBg0C7EBfuyU&=404U!JG?= zEMB{HTu$3yr09WshM*vxXUvY9;IhHXE2A{nGQ+m4k@s}-y{Q9X=yy2+`CWZakikY! z7P$E3`|q9eNoS_4sQ4$-#q*6^mp!i2`}XzLm7rmo;M7v^BJ6^YH~hwmQZLJ`1*Zy} zj_0?E!$i;Cqu=XJ$6wox0y)vsPxh8NeEqKC7txDtY|a+3wB*Mk&ue%uzJISH43&FB zfC%BoQtAb*(!x>dg|2E_i&L}yco5t-z|`Qcec~u0R`qr9!V}P~fW>mFTZf4DOw)rU za!I!ij(maps1a}^h=W}juF=DiO*IgyfFxam5ZB}P@$^d9DYy;1tI9i8VdBI+Bd{yH zQ$(SwD09&iZ^(J;8m?1JnetOF8G!8Yxq4{|%(^9NiL$gKH|<~{aL8Sla!e{QZ>h~V zcIU#;rs!kYl=;Yjo6AF3yPkCB!kLgu&fC?UTnWYHw8vFZQx$z;+04)-TM?-gmnFND zqsWW*c8w2s<&NCik`DG@%MftUEuS^lfRL{WndEcSHa~dI36v)gTBBa!<#_d-*UMrt zNQvcV_#wFOqA_0U;r84XxaN_m`8XQfqO7C*LO@!hVg|Kk1oxZHF;Psh1ri0cZ2691oA9|aDa8A)ySw@&5<2lR zIwVE-DDU=oZ9nO{@G;x~*2G80BgE3jH;$I%Kq~Up&CGX>V{@^im~~R~TBhxKbMGu| zlfAX2?*iLj37})!snTj4q#TRs&`DS!MpRmrgWh{0Av=vbp`DtJ zyr_PAqK3t{c?AnT$vRMU^k;ASth~JS9b&7Pd2!*}933@R+acd*$_;(Q`rLMT!53-g zJEJMm5D5Mf>XVEKuyco6(p6j$I3_=XM9JYg|067bRaT?r_q8gY(E@AHHGC#v zc2JUOaS7;GEXn=BvI^<}85Js3IF*uWWfk1)ys>CXWmt=nQs@U88M-qQn3Ntw>Q#i<)8Si14#r*~8FjR?skmO-$kI(;!&sg1^gH z(a=#F>|s~U=`_8bh!1cC=jE-aLMPsO=(NISNWW5bQlOJ)(v(bri#A@OsMv&7dhjSj2zP>5q4 zuIB0?PMhKzWcZy?-wu#nJ{H99LDbKp5?X}q2R;-kTM>*z^QbBokgUvP%49X**Kkyv zZNIDoh}#yu_%xYB6O-h$C=Re~OI0t;IsvWf1py^72VJT)Ooe zyryg$zA=6s;u)>G&QkD1`Pk#ZDLRpfefLH|ICh13|1i>Xw`S{*B|m<&!{VKa*LW8) z`Tzx1!nOOWG1~v#=lOR#hwZ;cXaJ5s#%KSh(HS!fyE3DEbxlnjC=j#-@cUn!j_K2p z_Fw+1zq`%k4IFKpcz76u?W|pFY@G;MKYf5E#ui4-c8;HZIzGO?^0Y~cXi;)&Gcg0S znOK>nguZGsGc!4Vez1SGv3|C(1GJeLKmV{WYcsR5NKg^}|JqxJLDJUr)A#r{-=^Y! zT{7Ej<@7 zFnE9vW-6J;1qXwths|{NNZ+nTnh!?^3D6-C@JKAv_FXgNdLfX$B&o6ff*g`+=IIkW zR-?!iq&|U&w`QAeaB`*HWVxd$hr#bxaAEDa`dg|=1TfGKUWeHkHusgEvfw76Q#h#C zIh?+mIE|H9$N&z!!?X_++os<>=zy5|m8JK46OVKqW7r=HD8$cWhCX~W?aWjcQK6mD z5XKy>4+Wv(Hk>>6%gZ!K2zj+8lsGnM3V7>>UXh$wlL_@dnpM)sggvr#L>A^V{Y)nd z+(MhNSLuW|PT7gW9?XK|N-mF(6%tbDg~bU;iJhqF)?G8t&|@7KRD!STc!`Ot3WC;t z2vmiJmYg%uGk7zsS_|I@jiDtyi@dl16b3`(yUbCJp?26DZ3XHbM8`0lUc=2v5CV4C z?t9f{f*Hg|n)3{$>o-Ru!!Le;`?-6F4JHiPKY@#QEx}I!U$&3Xkl$>(gxwn|mDmYK z4jE>Z+^Qcj!{Oih6prj6WqIsg$4v3uCZ96X;n(>TELDMCHVw{~z!S B!Xf|w literal 0 HcmV?d00001 diff --git a/figures/digitaljs_online.tex b/figures/digitaljs_online.tex new file mode 100644 index 0000000..36b051f --- /dev/null +++ b/figures/digitaljs_online.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \includegraphics[width=\linewidth]{figures/digitaljs_online.pdf} + \caption{Schematic for a Parallel-in Serial-out shift register generated by the netlist graph viewer DigitalJS Online \cite{DigitalJSOnline}} + \label{fig:digitaljs_online} +\end{figure} diff --git a/figures/opt.tex b/figures/opt.tex new file mode 100644 index 0000000..60017a5 --- /dev/null +++ b/figures/opt.tex @@ -0,0 +1,34 @@ + +\begin{figure}[t] + \centering + + \subfloat[ + If any bits of \mintinline{systemverilog}{a} are set, then \mintinline{systemverilog}{out} is \mintinline{systemverilog}{1}. + ]{ + \begin{minipage}{0.8\textwidth} + \inputminted[frame=single]{systemverilog}{code/opt.svh} + \end{minipage} + } + + \subfloat[ + Vivado infers the code as one parallel LUT. + ]{ + \includegraphics[width=0.9\linewidth]{figures/opt/vivado.pdf} + } + + \subfloat[ + Yosys without optimizations enabled infers the code as a series of 2:1 MUXes. + ]{ + \includegraphics[width=0.7\linewidth]{figures/opt/yosys_noopt.pdf} + } + + \subfloat[ + Yosys with optimizations enabled infers the code as one parallel OR gate. + ]{ + \includegraphics[width=0.7\linewidth]{figures/opt/yosys_opt.pdf} + } + + \caption{Comparison of differences in synthesis.} + \label{fig:opt} + +\end{figure} diff --git a/figures/opt/vivado.pdf b/figures/opt/vivado.pdf new file mode 100644 index 0000000000000000000000000000000000000000..3f3970ed6154120189b8add040f32e410304f04a GIT binary patch literal 16005 zcmbW81yo$i(x{U_a3{D84#8${C%C(NaCi3vcY?cHaCZpq?iSohaJTS==$pfFa|0NpjI2!@O#v)m zkpdh50ALU?vvf4F2j4CA9F2sG3~UUI;COlA931V9^sL}q)0mWiuLQIO0eYs!r6y*U z=K5d1qzx+%V0@K>A-h6Hd^Z(_aY-}sPV2ns&1aNXuV2H}!Wmf`{$&pS>!snB)?V)5 z_E;ESs$U9B|MKd$AHuGVqKb}S9{}9kF9Pt3K@SKn02l=M0U!W_fgbn@_6%I{=gOca zt8Z>(;P_j|l@-AH(x;a?@cXYe82{A~F<<|c;zAv%;#)m_(JUg!v>LWetz_Y^o>0eM}ZPa ztFkmjbA>&BaNE8dQ*XxTt~8t?YbjRq9NvSH{&lhA=XQrQ5>$bR4P50K7Ds?G@k?Ux zN%2aCzF3wGq^)x4+SN^eP=EF|KPEy@1D@bPUX8r znJj1mSY`WSrBRAO96w@_qDqFpPHq@DeLvZi4wF&;ZjDzpsHgv4k?kos$J!v+GKucv zX~^jHMCC6*h*|mFcL{)9!1<3vcX zJty-QOGr4ZN=y3N%~BjlD>ySqnqud7qQ<7G2*zR`(Pc&^h^ki+h!5bM>E|3ZnAUGV z-5m}x7G*g4A_yqopMiq;_Y%zMIAaEG2{>QUg>kn8&M}2WocHGtyiGB@8ArE5Vlz;Q zV9MBuv`34tAiaoW!}nFT=bGPe`1QTnTC8W!ewO%<4x;Z+-$6hdt;`6YUgoE5S8BXY z++7K1kR)D;yDU5w9_C-@hg(>C_FP^$xQcIC@%pX@HH4WiGMEbdwynEreOLT-Bs;h` z6H58~qZ%o_#gH{6ro@oYA%?Rrhl;SwYm5E)iliJ3@_a4sk5JRF9{3DB0%%G|@80EN ze6d|uGFa@;2evU2q&Q85ucsv%`-N>>%2)Z-(7%sd-+qcz%ECn|t7=!MmdTu6&vxz# z;~h?eyz*c*ws9PCqS3E>r7&gaG}NV<+fb4`N4b(aU#3f}TR1pa!hwN$k{~1$P-t}V zsWPW-A1X~HCNG+pTJne+}CF^i7c(@ahiFr5O7S9Q8-ZwrCy8vtmHf#u zJ-j`1?M?UTN9YYox2ylT2fSpYzxDtSkO}m6hJMK(e{$FFjPw7o3y6SI@jue8F&&T& z2;lfT|NX~y0D4L4|CK30|IYsZw^aFO&jbNL96<2)1%Beca@~%n$H%zdy4&PCorkf8 zRKvAF??DQ@7(~dJ4=_U2pZ$ZP*?MRxVo+9*U;81yHVM=mX+n!~KE--KA?{`A%| z+q_I4)%t3wWX=~eeo3K8$Gk+~+QsaXYJ(PR!Lhi`6a92LnnuVG##Wx+#E zaH`Z*xcQtTqrVcYe>LGoz*au(OvHQl>cgPftGni2i-c0#Cv+3+k3IOW94rTiuEL0l zG(L6{WxB~y*m##gxfPwdwYJI>YwNPR%bCF#k!xm^F>(my*k$VI`F9c_62icznTwxayfOp@ zbJG z7I5ZL`H4J4_Z5v=6_Eq_fC^1Ie1O~Av!2YmJZv;j&C;7m{f(XJ0lCPuy)@x%7gVNH z=hvCQ1cu9+4fxH=l;AR|H^eM?0f9l+{&|P4n_}&wB71?GAuf*Fa+|HZp1O*rkQPyD z4u0|7B~eP#>9cuD0o^}M(pwNb?@$e5OD58Fjm7NqN4iQ-s}4pg+2S$q6QYKh0rNvj zW1DqP()KFnuQ?XSD=8NH78o=aHVxS{(=7F942rl_>#KOaDA%L8^_X4_pRN}=9jQx= z8|2iNBi01Y)$A!ouwbd*pY_Xm)L%O`UejXAE)&cenITv7GX7FVZ|PR%(Pyu%cCW@{ zQv0!tEWmDAwGg`1HSt7aR}m&9z|sGiq7(-xHZ6psm_RlRRNVJN^ypOXj$PlUUOCb5^ z$Pe^%`VI%t2mTzRsJYs8%}h)?O)3;i&Rn9u&_Ij_HM_>*O(Xt|*!C(x*$UOJ3Yb~Hf}hi3k;yzv zwWhpgw>vSqfMl)W6`-T2rQ3r(3ZXvh%}NJlr%zgi)eX)ZxWgwC4Z^F$2s)CQX2ndR zhI!f0rOT>LFBgd+PrZTpQpR(0PQd4b8DB19La^Z2uOZd%HuanrIM5q{ZPS-11e zd--sgU}0l`tXHw_sJw&n-Cl@87MaZF*AApzrjIBILfP@PIz$oo3v(J?q5AnvcG)>T zUdyxg--X9?|3Bm1mT1xB7Y4>Yfs~ z2q~c%pMMeAcyZhvBxYC+uC%q37iPY?=dEt)FKfK{bP-&ODjI`1S43%m!>XdK8JnST4rrrKOrf_4<4B$L@$# znh>a96x&3%s98b-IAAr2<_C7$p9>&j_n!*^g;4}s24m8m@0a9%DGv}sXhArBW_EKB zrly%Ucfv`o1YMYJd&k12P9lBR{TypS#g^JJvIL@cFg+e)oJ@FbD!h0aVE~+q^G#!r zPQO16t&)tNebrE%Ro&c4&v1p4Ofi&tfO(M+K5rEx4{9+J+*Mvwb*H%9HLN#ma5cVD zp`sZfNT#V#bzSoOaUgvjv3p`@nLwmmUsTbumU}XAlC(B{iX?NuXy=q4Qeq*ebtGLb zLyA7TA@W9KRxHAa!jnqaR4Q!}_J$3I2w_2ycfeZG9k1m$<38nQdWTlZTaPJ#Z>emw zFR?fr=fO7$SKy7R)@gDq3{$oV6(_d#FAf^99~!38q3-RaZc0AfcIAoYyP3IJT&Vak zI54@2Q-s1CCsoFFq-J%YI`nHfj)P*wNE8DyqV*D!4(|dOm3Ps9zL#hs8P`6W8^iOM zkI?-!hi+5xeEivj)zWo0d6`vfQDTjRq-pc+&I=;s1_}yFXZ2~{`@H+JC@-IALd=ej zx-*-E`D6#<)cBDXUZQy-RRD%MhADQiU;>$dE=qs^X4xZ${wCU0>lyS#lBG{eHf3DJ z=LN%%3jS|~`{Jtua2~dXga<_Xo;TX|&mGl4J2^q0$$0VAD4dZWVVz2n#ybV%B3jX0 zdtjZ8PnoZ3?_uMlcl6<jmr3*Xr=0X`QT3jfCDzF@HsLww6%F5D(tWRUDIs$p%NLYN{4q7 z8}7V$YU6$Z?isNqd)5r&q~t1f3bBN7*J8d|*}C81xQL1(%*CnhNfwbOp6}>&~HI=5H`m-=?sK-Fm#!b{)F&3Kw{(3&; zB1wu9F&@`O=HuU_juz*iJck{Hj0c*6Lo~n7oh~HQK5fa=9ufD%83u@7!>M}?gt}c#JnR1Qrh9l3}S_DE9_Fh zLyT#)Uug*Rbw#1Az!i1!#6vuC&viJ9k=Cp@zVG#dSR+-n#P>4V>f!QcKIK(+vNG*z z1vwCYv-#NI2z38AS;tpKAeuok*Q{s-w zK;4kG0lGj=q-Md=bp^ng48_h=LqpSB6&SJ^ABe}~{XTtAj`qV5?PE-Ydg76x%94z6 zzH@a2HI0yBvEKkzvy6N>Tb!_yPJKzOvIE*EN4NNLnnjwnOsARjQ58#FzlvAX4U4*9 zN=VYePbqdT^fhxu^AhQzGLh{c!O4gQXp1Onzwp-VgnpHuG#0UVSjOSBb;e52EH4+C zTl~15B^V4Q}w0)M7gt4|Zrn68$Re{agSSS5!Csh95l9^g=JrRObqW zfwQhw`0EZ#!w-lTlv#4I$MRCt&(Q+g?{WYNd*aO@@MeTNX!Q9T`f#GM1~N@W???(! z-bQ!IFchpnXm#Z?Ye{V^6eV#B!BY##5W|s}G1Vb))=DvNlNn<#Z)1It0qI4)!*GC1fWim&`YIl)T6p|0$nXhURj=`Jf*>o}l7Lc9QM z55cAkh=LGRHsl=jEKQ7mrUzXOO?U>%yM&mr$+qeNI(p4Kn1b~!D69qdi8qiGg8QTJ z_M0$ylvLv+=B=1T!wsBfuHBteTeRJ(#&qZ{*A6wjU#diF-jig5v`V5?ooa2Y7$v!! zRtG01SkI1+w``00`>8i_)oc(jnZa8f;=CKpG1Rd36f60CvwSd_#u@0}M&g7iM(&I) zcHHB+i!*F9?J2+K7!On%aS(Wm6IV~0S1-}B)_(LM`umuSBh!R0dBW!B;3x}+a+M9B56f%q^HZ9DGdKMKZQd9RLrPH zach#XYfLe!$x+O>%ez-#@ttc0bc5Qkk7+7g&*ap!C3Vokgu%)M536e3!gDYe~Be=9I}TBtx}KQjnAKIX3P!W z#NtTu2=y8y5fBht-}_&o7Fx2UAW-y@4VVwmFME=8Z=a&V7$7KBM+clxF}j}Crle7j zz{il%Bb;bcA2e{(6@8`hP&zN~Bdld&OJXotX5(|~hPIL&k&}KRr=f7+r3)lnoUSxB zzbnJyI#`)6EB4r*$I{)duVb|n+2?n|q2IOtu_?z9dheP{R2o3*>~ zB-iZ3c`xIX=qM>%!m@i8^vyvHY8s`(h{F-X(!-;}bi-v&*}rnabL9Bt`Om)kx)pqN z(=*-p;?(K%R{QN56OXl<=>TayGP2LmW%y3Nuq@&M{1f9geph6cn9*Rsi zBpF`-q@ox<++YZ$H<8`8$~e=Aa`*d`rnn^`WZfQCvEq>_ziL^8o z&6rhPmOMxyb#-28bH`zj=6#VR4WELH#c<1zS(5||^()?@?K|D+hR0}M^WdHsr9FjK_>BSuO5*7}SF?g=W|s7as6*0XImId#T^hu$CW zY0k??M_G5j-<_A0)ZPi~wos>XC(Z*A+}9;w{tJPWFyXZ5Bj^Q8)crLk|0 z>Lb>*Ko}F~m67*^O;zxlIeOUEHN$k)&hJ(>4Vxz3G}%+PY{BgYnR_rDHyL%0lESy& z99|zd;T-mKA@I&KTP$fH^hwm((6xKYxu@|x?mDN&E0osY zBSXpX97ek-O;A_n_mL?m%F3}89Bw60X#wc=ts!Mj*w~v)@Ta z3c!m~1wt7JrdEp_C=e5KclCnEAV!`woN{LlCA zXXf4VG7#RoLz-w&o#;|0O$x*9pl(U{zAj%eX6y^dZljTAME4CnKd;^{6VDE_vM|l# zVXyAe36P=u+JCNerK4Q)(dapzn73YhwZZ$Ry$kW4E!Tu z6~wqAk(iAiqNgEJlnibg4w>ZW(0P+5ue=f{$3EF>ld0URUe(HXc;{N4j#57}gJT8b zj8$^9uVl9A`Y!oG>taQEwZ!YDxn6NLmIfwLs|NH?4Wa6+(HN7?X?tWbgkG+mh|tVlO5ma+nnzjTRif&EGu}hpN99-l@qI zrjr@6+24)uEq(pWMT=9i_8c7pH(|!RUgoL4gqz#r&V2;wn~ibqI)9!^J|J&pj9;f| ze?TUqp;+lF6|@Jr@Y?{S>VR-}KPk_0pO0%BTM*DLZ6fyG54>k(&s#3wPY`rG5-QO| z-JaPxc^u@YrSEhg3u*wX>aq=G$T$L)OGZJA^E`Re*@AG6g7#p{QR3 zq^R@7b+kmzQ~ZNxb(#cP)2;KD5jQVLK>Ey){LMnNari7}ABTI66+UOqo&wU~mU#`b zarC_j>lb50>m5*$kr!eby1om#(kb+$Wb0Zks_CLl<^>CpN8JI6h*LkU?NtG+- zRy%FdZLvb?Bsn_rCO+Nw;8ekE(bBkz`P$j&)~Aj#Gv!a={M_|Ky(w$$%ueD?5I;8* zjKyc6jZVZth(E;c$KDfj@mtzAb!2VS%){KGt(%=ZOxw{RFJq)vQsq$Lz-qQ3Srk#P z)*&5<-c2g6Dt(739wtRYN0F$j^wYa0Sz9_!S2Rtwr9e#Ev_x9{?Kc>M$|&gTA;1O3 zaWDppx(9te$)3V{`$*k2%GqGj#$GC0M#q)GbT1db`iH9aJ%MRQtQLmU~ze z#x?s>43qK9EM)@ou+4@3BeW|Oj0_sjGd%5{nd~-q(`}T{V}$!Fo-Q_P1*2`uvF~_n zw>pBbqMim7HdcTVjAU*Th6vACAi7t6`Cwu8eO3*7E_aJlB(#@!BZPh@OHQcpv5Bqg9| zsk!tg*~%&g6$aYshGYzW8ig5ie=hWzOyVqIBGWgSW;z$gk$#*-#akL&qd9X(k3LtG zoaRnUACI+>{dM=MU;EIk{-}=SQMi@xo15^g@o1%jI%oiD@KX@`OpkH&;4tpBIk+vhQ;oFgQR6P~_THHgpy|&rT-99=GTL;BWYnJWS zyCcwj*L6h7IUN=!m%nsLcbhWs$Pf|z=UaldIC}ghh?~Z*#1_u?hqh$FfQFhxJKy=kyzR(JM{ zY&=4KiE!RtJ^!3LxeMIFMXGlB(9`=AmX=Z(Bd|Twg1+_Sq{!rYj&4W2vC2yLn_Bsilvq@wq^{mHcxvYD;%)w9|8?qMaykrOt{hLp+)yJz( zSI@vz7II$H^!?QC?crLsTH~lxJi7*>TVjx9=NoFIlv138aIM%PcCLAbUCf7keVPo0 z@7PbA%-&C>)WqHjg6DEL9_{X>;a;8c+L|R9%Huf~To1+f#km?@L%R$oV?~%=8j7}Jo&0pMlegHm0%)87i1wx*zW{;5PKW3 zNTcl*a~=R*aI*1|li@q}qAA(-d2PNQT|2aKa}PfwC5Yj!rDlHX2@zr$rigjAb6>K&qbGqINxVF zz6buc{nN#_GIoT-YQfv0ng@Nk1bX@TDrguMF`ouVHhp#!&t~@KEX{_v{%lZ*3}5k-FmJeY2Ag_9k2I;<~!bA zow8egI_Wn4Ug)OgfIQMw;eWM8nIXg%*NIMrCEEeYYs0nn^IIo7?je$oVS}~VP)xPG zInPEi?l5o6)QWF>t+AfxN~zSTY)eo|tR1r30}&F0Cfg~y{^?X>lSCp4i}Tcj_6+Nw z9j)Cj`?jaMl0YUVDBNT6ix#4`*qvY_AtAbkaEw~aEw0HIXtoV}p(MEe0aV<+Rjkg! z9%)t>^vXmcO8pSkFGI*>mG21s{0zyGg)Z_EdS-e+afjKqOxMi>?s`PtTc=E}QEGV( zA%$pr9T54zxAW7;HZi1K9la3{b>Wj8;WjMwrGc5<73+|&F}f48F~aZr(WhO|Y;w1w zJ~go~7oH8u4y^54-L`4FDyJo3N7=W+ej9E?Z1+bFNsNm=$P2-op1l<9y86nIfBO?g z4QBYuT9p1tJEdNAl*Yc@M*pV6CY$|ON0C3G3mGKeC!#KfU<}pbXc>EGx1h(*GFcY~ z$oryQH@zl3Yg9*#hZcGm-;u{V*Mj}RDVPRX45=4Cu*ROm9BpHB@Q+P!at4)WWx`7a z)OC&boZY6=IfsJ(115PhWCE1}t%GwL^|_sV2;HSyDlRC3{UK;Ww6Pk(7Js$(MEo{b ztVhc7c2TKo^#tSQiGKGys?QeQBS%6((WNiOz=LBn=@J`oNyOS!%7|5;+fL8(DfR z-4Fp3@bSJYiXlPk@#z(UCC&ot1lL67Es9knw9ydq>#v=Q4O=c8$2Y8TjXbBARe+P$ zJpXV~RbrFf*d0T;k9ZoKhE&k;umzS9>&2#f8x z+eF-q>XGf0&Br`IJTO3TR2_5~w!3SOmmgv`3S?9?Q?7si5Z8ccMU~Fbt+S9>ez6@_ zDQ}8$-33uAa5=$tkV-Xvp_dJe&&iw8HiU1Bznp$^VC+UqAX(7K0rGG4jz7-QovP|m zni4baw&xT$ksd?K>@5}X#2E`^*j!re$*@VF+h)CQviz*`rWUvVF(0Uf0B_Ub(IuV} zEZgyr6Vf=KeqRfO$X_?vWG})w_wV0$t4G&_aXkg~YML$ZK`yc|+GLV`gm`EBMBokN zrO%Tb`Qz(je~ioab=t!-Ojm!}cZnSka{R0vg{O)$q521$O+sT771KugY=lSmpF_vj z4~xZLqvp{zhSkDF4Q5WeY(TNor>x&}U9dlFUB1;Tc9lsHPRN1!4(HOn)FYzCz!KI@ zEcpQ-Ek~6h@S~oe3hM&cPEaNRzf|k>bp?77X$DrYsxKnO0b{6Fs}?0{<3_#~okU`S zaptUKGPDi$=^P(7h&(1DYnH$Nwy%RWDe;!tQkM4f4>aUXxmxH{biB%b?Ng9tfVSau z2VEtU*Llm=WmR$X13NQ)eX65^lc`0=<(-GH@AN%#CrppuJ_XoK5$|LAz}IB6+Uaic z$u|dO1*{;!uS>8;lsv*m0@c~yU_eZp%IfD-Ld|=i8B=7)KnBAmP^fe{x}tjLh)tCH z+eH~{vo)@2end@=SEHoGRSWc72-OM(G+2LlaENMDX;plLI~Gh|3%oX_JRGh0${}?_ zY(HAyls;dfU31^8OruJxTkklyDGaZXT49~SWpZEJEOyjLW8N28M5xVDUH!&jrCLu= zvYWhDFjOGmZRaC5-Ivs@8#f+nEGV^Pq?Q!D8jTSOQa(ADxDRH6w)&}<@O8aCa<=E& z*`@fF{h`;jq4i0D%IFM*A!b%-QY~qWzHwpCpBZx$MWCodif2EJPAHTSf9;K&g|1^y zW~;$Dd-&lePv@MlrO5FM&|en3x3dAE;pbu2hDmCrA!xZ7A=!erbXe6wSYsw&+eI=x zp|B51Mr9$zba*>|i89aLg?ea?J?%$HO(XMu7jcfQhr83A)h|hIVd5K*)xg+6u#JI% zfwPubgu)RLs|Uc&n}E7Y2KzBr~c!@ zy=`^ia}V_?tLchEil1ARhAwbP=j3G2_gMYM12^iZgWK@L6ya<+Q+&eyRdT|SGgNu5t`{s!_g$jXy8{XcYWtgA8F+)_^R^cQFnE}x8HPv;{tL)OMM!V zc^cJw)d}TF!|PjC{BhgfgyAOr2-%(O2(!tHm5x&3?9Yk2!tNehKR<4bxQ%KEx@g8U zL^#mX9316BFUP3SItbLP=Zc5lL#~DjEHa9Xz>Ov(sRjEmVjTw9^?p(qv)*AtP=~ zbp|ZtkWEGLYxBkGR&T?pJ}I2}oKx)Q^;5g1v~01Nk`&(jY`La&-#O9p6Mn@D9o&EqII zL2_;?RVZG47Vrc(Pfnm>T_uQxYV>gW>CERVB8w~FRSFx?LErH;ZAIJ{LSGUsstWfB z^@;RNY7BW3MJTtaIiQ&JqwV8~nuka^#^=-OHdFXq6J+7FCJ|%r$0`A^V2-#>o=Rc4 z{umBvaN)8sdenU=MXZR(Bebs>Gtbn^ zJ1#_07@l`%r+9qaa$w!GAy1@*P}D%o#k^6izacWPCMw!OZRq*-(nEw_j)hzf$Rh(2m(%)sZEK)jNtU9m6#>H}Qr#IiPHv|DFLXBUL z3abULy4bp4uWM<<%q=!GHupWEnJ19?7W>yJ8I;w&GevJR=aOCMzDcm!O<=Dmc4!6g44!uvry`X2_ZdEOOC2?91Tq+3qd>qW0D##4XG|I&F~Gy z%JjtuoO^fUth4^oHV;-_c*|u^(A%TM&1+$PV=V)zRj1<`j!<^4q!oM!T^AP=BbY)p zcGQrn2RSJuX+4}@Ww6l8SXBeoAo!n{2gJ}ai4H+myB09J!qNVi_Bte6a+8>qdAX^* z5oK0-+x~6KlV!>-xm^u8WoUu~(pIMP%*v@>&&sTC^k9>L0r5Et(K4pAhW@wQ&+xq} z0UjFhU6L%1Hco1ktfx9|D5$B?B=WaJBo^JEL)?f6%QM3{?qe+5TW2O zo(K(Q$^+KRy~0XSuOh^0qV5#aq1RchH}7KTn5O9TBu6IMf8Y`^I#b{h~I10qsMM%w@Q3^Zkx< zaesGzF4TJB72A>27=zLt#1bKP-@|gb!(5 zSc8wAd5`7OE2PBvHz-;#azMx|TjGbC@1895%~NDY_5gr?02&z*40)Tq41gZt0KN%=Qs-Uh#=AEdp&s2FpCJUIzf5znqJ+SA@`^gU ziLA(dZbPEpDhfO>_ve8LLKRA4*w$|gJvf5VhVei_B2D}7k@ph?z^%x5cSV~Z>McKn`BO8PuRZj#l z*j-dl+PHsceydKyTE5bkq+z($CYZe_V!CSe4wYdQp~RCfQboqA*b~loKc=ER(Qg{m z@Xi~fX19x$NxQ|Bg7tdK2-E3sBSVa*52N%YmIbwQQWfR>nw=WTXBZ_wCCZx+(l-Zn zOT#~;nECmjp&|Em_to11GLC8%hh1j0#rb?+dIx!r>dlu&)OB?EZi}O!4u%WdLwwO& zeEx#MQxCNE_QLicg!|WV#4{u#q6?pGu{Rtb1H3;KNi`pX| za8IazGI?WbZgZugoJh1T)<_!y2^SkYoG64pcFz7JeWKb+B~Sd_EbgnI0B^V-9C3St zEo5kBOM|gGK<0U(FaUzFuex|6i@qQ>ccOeWquErGix-F z`bCnBIMG^weg%@GEyQbsCVKP;x{aKCpsJr_V>9LhR-ZpZOu2gA5AmtGV8<1aX4aT; z+$krz1GrdvzJnohZB+195H6zP7%lc})O#45>PSGY-6F0^c>ot(E-H<3gvZ|6r(hE~GfP5jK^j-J7g zYVLh&IP$mf`fGs0 z*FfqS3Ai}$jQpVIWFP8r+p~~29D|-237ASe8@7(PFx4Rwy(-ajs;-=sWwal>{4PXa z_J-0!cBc~Wr=9-F4cm{kXXCd9>CwC&8W^XgiKKQlJ=z7Pr3sG^3E*aoVnqR}LW88U zC?o+%QGqf#gdyMjcH-9y$Mtkpf7o>OGr9}rfA;I?%UHs?9rEEk74=CYaqsh?I@P43 zk6G>T(I0hqcw_T$0^6#C$@XzHEStUaXI7s$@~tSeGto{SUe!j`YKIl=GFIa(A9ZEd zI3D<0-@LOvbqs0}MhaF^_|xnI79>gf!rr$JWzS0=B4k)%cxo7c_=PBQlW1v{Rhh`R zBfTlVItH2?N;VD6@{|?}m}#ctlZ&2Ft3w6xvn(KB`VD>r4nR$QK>RqM z|6KWgB+WZv#H*dQT4}pcLS5pD>N6Td01a^hHS<*8;+D9z4LJk?UQuEj-ZE1p60zXra zZ>l^83LbLhZMkepo#r|F7E37Sd?cq5q^7-NH@_i_;}9*+v9~n2Y}btMhDY@cab$=A zR(ud;{#^9wL8vHde2_`vi>ioCJo=!fzesPWG{zZ8~N}KZ|g_OMl*Vgu0vx zM`9K%m$^xjQ4Jm^)qXwvWz5uHKt|P+*`6~R*qkxu8+f1L`$lGKDl2x{vANbUB{x7! zQEk91P1`nZ8sEtol(N7svw1@*UY;3?!f?wGlIhAJK207|O*Y8mM|N`>4QU_JS23+7 zn{QfL_=V!}#2YgLIY@kM!BiB!<(CCKE3JTO*ow!N0vp$$DG$NqcH76VR{Z@62?n%8 zi1fu#PLMz9GOFm-roMNj^h5pd$jaA~agdcXl=nJW7+47W=;2jsGZj8mJlxl8Vp}?d zvo^JeFsDwAXQ43Lz^q!eD{fi(o>c_{TsA}_f^YmfZh8#rxZU^1?jf#QtEp5xJ8eRj%&l}_mltk~`CN6@2dd?@eRClAU{Gr4Y*6aF+qz3A z+_}VBpSO^tl)m^KMHYXOCP;4wTZJ0N&%d-ha26**yvt|x887Azvf!=uPrka~?HH#C z91Q)Y2nQ++e~!W7T0d+xknSW-?_1{>;DOXtbec1J+M^L~B}?JQ6-05`!7!&mEgv+Z z1F0&*)9xe;!tDjlRXP)s#{8x+ig2`{EF^=yjU?n=PpaLWq(pclR8cCxMDeFdISgRx zAw;Mak=PY3V)ruyO4Kjb|H%ye{%!u>&;TO{^e<`v^iQzsFQg6h2a9?knf|vQ^8fQ^ z``R&s<~=}!z!PscqQW5JmLOl)xC8Padgdbt_IgG{=14onpjIz(izET$5Z>W-Ofr+b zjrzL-b>e{ zflt)DH?OLt_Ix#~!~9sV;U{n5Vbb@?X0{gKPLJ2L)$Ip>OE%~MDsLq&@FONhzhFm9 zC?{hhR_n3$a5%`g_q&U7GaATi^HGTSjkuW)O*5C84OA>te8KpEaex7YI4_b2hiWn| zr%te#RVvy1MRTZIr5pX+QzuiDVAPgR$Sy1B_I@lX5XvbPCL-L~V=bVqFW5p188Dhj z!ROZ49$g6;DzU~OIhT|Azl_)4OdogAjrW;{Uu1Q7plXD`r(kdcFdy_v0}js0)* z<3%K+X9Z^T1f-=EROD#*?alNorIr3wDWYd(X6Xi?`YosaBev8t0rPN-|I+!3SfgVF zqiS?ajLZN=AP@)u11B7Rk!#|PdX{De{MIIxMgZV%Gkyny7YGo{cKj~Bc=b}I1JAeL z0zo}nF(We*Q!pn8e#~!?qN9<&@zgWZ(M#DL#} z@P||swgyw4X4WPE230d_erpG_zXiYTRLu+>O&$IsAYVH1e>+%MSiywkzt4Z_F|xgY zJ^wsdSzj>4mxJ|pXn=sfbzYwFB4_)D&5I5j##owO& zsbvArf*0Et>2HD)3_#i_TbsS$u7Ka!XfWiQWS;s@7(+ju!y|6lFA_yE>p13!=z z+&Cl0Zzh-Fx4ULG)sac`yYBNj7;GC{GWO(ER6r?hoik7ICt6q28k8T+`%j3 wPbyKcu>sHTm+|_OK*X($!P6LQ_y)t78W*kIC64fSrNGZ10|`to&W#< literal 0 HcmV?d00001 diff --git a/figures/opt/yosys_noopt.pdf b/figures/opt/yosys_noopt.pdf new file mode 100644 index 0000000000000000000000000000000000000000..9e4e8421fbf905eae0e0f7011d51d7e59d4845bd GIT binary patch literal 49917 zcmce-bzECr*Dcx>in|tfx8m+@!QCB#2iKNTpjfd$aSayS3Pp>%LveR^w@aVzeLp$( z{B`d=H@{?O?YV5svDTh*Bs-x|m6Bm$XXQqssXHovK;k0*NbYP7L=qArXH&9rvT?U1 z=YBP*BaxGnv&q;wxLbi<-yO`{t)#3hoGq=8L`0C>+(A}mj!53=(NThE9jYj>ep%*h zpEv4!+C=c>q@yu%-{IKuiNt&cBYo9Q?PwzH@Z~u)MU37Y4)4_4CS~19d*V7oEffru z?eR{OryZ)+^6iL3b=se6hgjp*Q+YN#R)VMFxfLWQh~NI%%6QJoFHbtjyC&$z=4|D# zL$Y$R{1<@N(qHI*@&0{(Ma<65_qYD<8RvgG_Kz#l-tMv*?yqi;3km%-ygt~>KE9ri zvq_4Rvy-z~n7tlfoqMhLk0YC|iaF5A!u_8%-aOvbxjB1) zEUetf|1zuo-~9Yb%)kBo*A`;`FR%W0d+0Cu|AFsc9RHn%O~b?7{U4fSoI#HNSS0>C z8|<$%aQ(~w{{qAQm#Tlz{O7K$(@~Bw;zn88(Xm8~l;4Qy>i>x)Az`kTOk%DkY?}|1 zDSF?vvNLBQT?W;d(kzRY85US5X)g|5{#h{m`HlZ z7`qdPUXp!~b)UArl6E$2#?sR%TqIP1)kco|bkDrS=MtHrNyIl3zF;}%;#LZ*LE)Ax zK&*GVgciIYT-S@f=&p^hV~}=CjL)sZZ04M&*vbp%`Izgd;rGRwdh#B&2V!DAOngnv z9+vR0N!F+_AaC0S39(GM_v%}(h7w?dFoVP;v|2uJ(M1tdQ`2wq?tSH6#i9^r(9T&4 zQR~?jqKLp;O%!$NatH$=V$p@A0mJ=06$_oh{ev_bzA|lckqii*T4DO@@`Pq(tGdkY zkBotXXxb4}E237xeLF_2FrB_j!5H&!Wauwyk-7A!5w6RbhRAa!{A4%wWn zUGbKR`xt%BPs_*!wmBWnCUYV@Ku}-x@z!&zt$dVYssR~=WnD#}Ygo?1gPqx^or|6S&95m$dCHeUIn4!zKb8gXTqS24s8po*w}2jfMCiZdR_bsM!yla&F9DK`Ow!p z>Fxx(SVqiV1E{@0NHU#aH*bV&ct1oNLE-6~oU=~ZY*@91?K zi7Va5-{=LYx{L|nz^@mQ^VyO#1WW=3X~NRP_&6E%ZvrPY%O@Eh(<3BYA`~N%9@n6#6udeuC`Ts|M{ImG~r}_U60r-mM zKlx|cd z%*@W#G#4X&Fh8rnzQ{h?v zlsQMHQbjmjNiMt79{CGN{PU0$sO*`X z+vZNe>QgNxHz8IF?XzvK+d#}TwtC%>=2M)X4CBprP5Zrr9Q3w4N{giD>1DE-BiTB# zyagD4*CJqK_ zhKW?}8;9wLH=dk7(HSjQeW?o*Y3;fZnI5NAG*#SRgjOw061~`SZHRS$cOSNcH{IsM zP!aGlinss7Q__a&v^+-X?x@>pIr*Pw;k7dF~hJXdyV*RVzA zW}n-aS7vHArCH|YkXzuYXgtq1I#WE4f*CqK7MC}k?;Q=;n%F&-Zk(Z?xWL*Ykn$D4 zrR9T*BUOXQV(ei`H`20AJbq`KC^4iIuEw|ftm*5W$jVT38NrC^;lU^ztPrD^ zZxCx&o1L)d(|?J?A>5*Lf@R3Dln#JTY1I^naGw5Jc0?Eau1 zgD2W|>CRyknXrdz={r-Ou!m{c2%kaPzjxUuTd0F5kP$Jnm)Z=7$)4;yxa1Q+r9u@D z4hE=HOimtL9(Mr>o3Qt(Pi|dSB%L{PSf>%MjMr4Ava1FV$7; zvV98E+;4g}P`<;lbL@N2OYfsIZxZP9JZ=2m&fmrvtLZ}Ni*woyxryxP8|*9o%bQ&9NS6O7eN=4&gk zP4I1wVNf1rl{0DdG%@C%#R<#Ip@z#I2L36w@&cGdf{Hx6Qmx^CJ<}sc|K!9%h4E?| zcw~AofiamgD$!Eltz8G)Br#-=-}=0G7qH>@U*)7%hsU50K3dE127>chw#3O+uKuty zlRz}(=!OJ52_=(-AA^4hf!Q~i?1-?0O}&0QZdwsh$0zt=#y{@JODfFn<$nK`9wqWE z3U>7PydZjj^$nbnRlU#9yxY<7T!Hty5>};3uGqED?O8rVu6FYj z2I`#|C*Id<^#CTRWkrk;G_>Pvb)rt{a=lXNqHQC>TH}0F*&OQ5V3ZMB~Zp&foh+W~i|%P1GyJDlxtH+8oW>TI4rKG~mCgoIIME1hh!* zCo+Q2;d}|SM+N1DC-mQS29HCIipoApdGFW_YT9j^v$V**-K`<33kj>vuD53SKz|83 zv@0C95$#?7=)HTOy9rS7Ei?N>W;f2;Rm%QbZX-EhfD6C+JH=j%XGU*;l)w)WiKdl1 z%)P8jyuzDvMUnZ=0DeuFS_l+V9~(+uSgmW3sgYGGQP`?g0EjG#b%UW3n_&Y2G}oew`9+>dI+RUaoJ&D`Mv}E4nB5s6}&b`}4}8 z%p1l7zQ}C2B%gn!2LU473jk=v(x6(#jLdzToy+j8%#4uM&nV1rM15Nb!5BHtC5lE0PV13{Ym1yjn*^5VeEY5X~!P=mg(NA|Cip#^RHnX^T}&EmRiHh!7{}= z-1S=(`$%8Xg$D9MmIxe2Wg@iTCP*TUw2k^0?Daz^hd&k|_1bHRht?u;*mlbkqVDOJ zD#s1IqjB=sY_1am5(wsb|zupp|%Sylt+D$QkOs=r7wSNlcgnUv(k0;(U zKgOIuT#8ZU4LB4oB^&h9SE-Lr{vfBP%-q15nMbE>RKTa11C`TWq1Brw&$Wx;P6^NC zD(=taZe%D-4q;$Q8+PlOVOE?@QC68=P@244a`^G~G}*&X>~um)3SsS#Nc;KzMKJOH z=&JeYcj9C>!!SEwyzlulu;2<;oLfIUd%x_xdR@A+`Y^6s;>9^+{skd5L?#t59eb>6 zb`GJ;7EsTxW>t?Sl;_@2hSpIQQwPY=Nbx}!-(ix!*{hdQMZ`oaQYazST#!(Y&d${f zgV*Z6WBLqCLVy|%zK4D>B1DIdzbp2=crOlMm`nhAHE_Humbsb^NuO7wo+gabj6`XV z12!|o1E;@1x!E-Hy`(hD1l~cdp}Zy55v8fz9Z<|6p;92pTW#*EH%!W8x>}{|KDy&n z#HG8kl%>|uwW$mf5fNA}(jguV3MbzhD1X8l?iK0#a7(cy>P*oF>Da>>tCa{Ct2GH4 zhg0c#PMt5x8ct5*?9_1;w$VZ)k^H}Abs^o@tnw&Q-M$TuL`(ZYQW z?Mox72xSYG|FV+^L9ZyNV4ck9EGbir)8RUBZ=N5(^WnCN?P$hwMQNsdx@=BmI**{{ zJB^T(E7Kafm;I37RJ_wHm zfz2n^LfJf=R<7-sdKv}u9ZNdJM05+whDqUzLhO86mbBK|PlDbI$C3oI94iF6Kv}Gf ziHxtCRN=Vk8C~<^&$mw0D7c<*&&?XMzA29k)a(PPY^Ypkc1qRt4u`~*Sa!_*hn5Rv z!ItIe#FwtA)hCvPg{b=bVjxSWSVL0fjg5>yXooNv+6jjTv)=m0YfVgb9)W2X+s0+% zq}6^m3QWk_KO=&YlbR+7TT7A@B0uRnhKE_{7Yl}O#cJ#c27CU_SHog6w2L(BFW{cZ z)!m#^nt|&j95a|EtYio=BCJcy7_l{D&zEWtNJ=u*AHj<2>FiRkDyxGp1NPGC*^Nqa zkZ%5#I65GL&!3<4A-TwF`yN$acHtDF2{3*VPrcqOwQLgk!{Aw=fo2Z0?zN#b+I{s z#n$$MdI~xkkispBBxH@HJ*gNANttSuI(Oy3Qo4q=1pAP{G{12lJ}-_3*Zts~>4um_ z9h1Xa3#$ZDRvMl6m8ldVl@S_uvHDg%K8AIXk8&kNJo0&Q?s}2Xa(Ta+U11<9G#tpp zm}0J2T4ZepZLkh6?TgXd$8@OPpx-qe;*IWw*be`q6JH%T^cfT7`R*0)xSfs=WB-vo z2BrU_C5lSaz|sV!#SNqv+k&@(N@kw(t5}p82|MLS-^Ooh7pGl)&Qfrtqf{0LWpr?l zy+R!Kjj`+{vT%_Z1j+x`#D81VqK=|do0o)t@jKC5$zVD5z|BpiA+GJcEgB2BC33^W(9p@j3WMU zD(L>35{BRRZ1Xd}AE?$n4rY=t<~s+BfDG#H?huls?C@I>-Lr^8Qx^gOYt-G(Sg`K3 z#U3|Bv3#D{al!)UM6=?I919UjF4*;Y1#c z#Cu`ILg7y}T(scNAEz#Do57Da%2`VGP7r^SQ zomLl+TuE#X5)2hHxASLc0rLk$<@AVh8lW17aH#il6Aiyx2$RD$(d;ZU?5d&#z?nDShV*ek(^NMQ^gK^>7C; z>~A_cGMHzmpQ*pcMO{BALIYXV>VM_b6G=o-;hdKjM6Ig%P3y?efRVsi$F&J?%Qw)N z8OfA4M^41E9Mbf}(jd1{5`=f7t@$|*G3zE=n-QH3g)`#a9GRV1E&rEIxtm~?daHr?}-ikf@plH*k$x9 z27%?^k(%(J1aT_5GK*6|RCt6vtQaeQKt)xITz$2yT$`IHrEr4DMpfqEN&nJ4SVFJ` zZ&FGe*ltM`=M$J-DJNZht}C3zr6-u|DX&=dQ+NUlc&aT{Chf*k66w~|G?@cu#%P$b z^Csq&804UJ5UoKv(mAI2Tt9T*b7l_O*Na8|AsW2Ys%QvE+D6{URS> z2hj%Rkp&;=G@%dI@*Qz%wvC4h5dpsxX*8w}wR5|iBs%^gF=-v9x8^xWsKZ+9S5WOa zm9!OZDknyGdeggjYeG!TDMeFtk6>h5M<(6`0S|a}d5B&EabhJuNwH@7 z(p!YtK(i*xFGC`1jKjRr%yH`i%<}VQ#a=UtN0?!XmqbB|Rx+&P_VKDh<5Eq0>s+{7`#st44o>#IK?<^b|={I9gK_;OzD{TdQWD zk;Lxy0$5U@W-D$n@SpA!+ho#s7j@Gb1` z#s3+^T0d#$GIQWALw#h&XxJXd+24kE@dpsCf>u#8sn0%fSob;oN7?)3DLWnlpYvi) zh`mWEzCQp-&z&3%AWA=0x)~NOJgvlVzX4E-5tGTq)C%elonqABMiyZ2#+I8P8;~z< zP_ZN(o5ZHqQw->cu@p(kW{Z=GzQV;8Y;BaFjt^v@Ti&<&WL)xXiPl`-{ZD5*vxg(?<=}a)c)!OIybAeaOtP%Eqs-6e7t*buCDKvW^sI{m7bMXN+6~o! zD;fK*WGoB8#E;_0ZL?W2j5dYFAph}!U``oQ^%|Gy-SX|VA#u{Rd7jhf?D8RH*^#Kp zdAwul7RAZjvo+RVe!Y2rswUl`217Quu5zjm`YBHjUH5p+CQjFeEg?~HARMvHI2J7bpxhnHPfeY^qOLd zNz1}0WVhg>TiaK6tQ9XCo~HZoEQxpG6C>FdRNEZMI!qQS*;-AygG8P})`m-; zcA9#GA3mm3E({w?zpWDGe(*Roo`#=E;uDw=7~MDSO`J>Pn-z>p_w0RVwP?8aw<_xz z5BY;lWduU>XK+UKm**H0`JD3&|LsR%>ED|kZ>_K1e4x*OQOe{s^+o#JFZ>3s|ILRH z)!&ymZ)?cN-UOS7er_+}|JyP&)ALPm8{h9(B)^XAdm%FrVwCt3S&tmDPmkCpiG^?L z2MrrbrNJO}wUW63VhhvYOm-#I(PXW6mIJ4;DGU;{yyz(+FqUJZlvY60WCDIuxVA%f z$-rD~9_@FdDRrzPLxf_J?`$wmerpLuChnj>J6sFOBRQuntnIg|kZ>n|r!2$RWOs*g z=EIFd$q{G=#Y&mQ@!3r^fW|P7@2AhDKz93pJkN?F1i&SApiN9Vt&X zxrxU7MLdNp78wn1-j_5iz3QPNtgME50^DoZwE6Az;7_xkMg-`;+i2R24Q9O7 zzeLxCQ9Z$t4}(KSY@r= z;RvrDC>1WM^tlf#i*IXOM{s0;LSpZ5eoPJ*LI^E+wt({18u~E;X%oPob5nLmnaXw= zdkzX|>ed>CWga|M+u1b??D#2hd~Wd!kp$^VwnOAT9T(ntiHM0QbwkNRhb+S+8`z-v z)EGahZNfM^tuJKqsZQo0!~$T;laxRN@B@=UbXbX@CO@mC#~BEJVqhL&uv@vJ5>2s& zBcqY^nZ^lKVMk~YB{Ip59j$im%u-_wZ%0cn9aE%+S-&yFqH(yLj{j|@p1|Rla!ndAfHl==4sdpKG)mO)BfRGeJHymCA- z8?0QIXe6o7oi9p#l0K@zNJ6@dyL;|l zj+ySZMHoPM#XTP8yWtNGqK(EtcLA44`R{s+S++dex8Tjq?YC2;ZX!TD8=mbqiJjiE z1wwCCOQ1#~JQj^_rZr$Sk1anpiE~4pBcb%{QT3Z0 z8l9$AA|)5TfYQrUDINKyc##rvj}i-XZei#q3b-e2{JH+IPN8ecU*|VY#fqwykgO_Y zp>=dNFixXnB|Ud7geR3niXSljkwa}yD0v`$IrM_>2sM2l7yw<4)5teYCmgMEhDXT* z!+gu?Cnbf@MVz117DH>M8fiwMX5fkkP3CWj(_ zOL1hm-Ho|9rmwv58~9Ct(@yyfMO~~^HV!o%377QO{2!UJXVcXf>hI81J1v#hHvEUi zo)>-A4BD3Ls)mGH&RqTkw(bq!wi!+)o(S>N8t3auYBwqnnp*YhnJqt#4HP$PQYVJV ziLux(QOXmsYu|u0b?3Lm1(*28c0zruBIikS!j~PA-oC80c7aImgbYik zYx^jE1s1Qhn-JKmSf_0MP9FyGb4^%;vgE|i9*c@7%YNBfNZ4Vzzsx9gPKvQn^7KM$ zEnwsIX@xkWzn9n71v>!{2l}kCgsNj3ePU%4K~}lvv|08OjWk9=9->X}IW~W$WCUK1 z7cAJ($JMgLvyp8l{mdf9)@Fghj`B+0QX;Sl9IDN}`Zm~?6h?h$#Nx)YpH5b@*l*L? zRi4ErA*<8$V@md+`;PO5@PX%s@y31MWAx7F7<>&{w7)ybpUj2P+iMuLpVPx8)#0Zk z)c46{P_Kvb7amI|KpaUEnH>fGaLv@_`pjSFeghKZCOh!Q$k9@3DJ$aWpsL{9=@!uc z)Mq`ovj`=*Bt>XMJarS)_9m42z4kRh2AjE`G_|&wHT9A?;C?OlX4CE+{tvl?Iw56k zyG+_V=(>>lRrJh2B5kepfo-)qq`!0+2i^1S3Xw{JR)*0y_?`EL?_ z2`PZ&Ia^cT(lg8bVQ^F71rbw1M8crYPo=?{E&& zLlG9&Z)vIElP-_fL!qPFzjNuM$6F;v4w zZ0fyh2QlYBubG3^eUeIFYg(FhCp1juM>A>0Hu|vAQH7c3@_mUFl=c&;0S}*65X*(z z=3YvZnL4@MEkE9m^8MCwJ+JawSeaLq5VPf9{lYM@0zhND?WXQ;)xx_QdN~q*+a|n^ zp0!?WBIJr9DDf$CjORwG%nQxdSHzhBq9@mSBsDT;H;=|w<>9-NS-O@Jd)BB5GI|VE zd6UJe;e&cMnbuvOx2xK>YU_qaYr7K*{}~QzKYF9lE<4BACuNZm(*w!bCs&dpI5*Ai zV_rsq1vlNI8XHd4h%Mr4gH^IQd--bPLMI{aIWzRG5$PNP#wT zwsa9sQ`o;e8g^BmwuVt3x|q&jxCN$0$m#Yjs2tB4BU@!QCSk5q1(j_C0OEwXUAMcc zg28EGjCsS@1SusYsNoFsxQ&y<5~_KUb?pxle`upg=F7%YrF6hNjz;?{`T;wtrl!bf zb)36scy+|ydr26?5$Qn}Rjq$w%+_s@9cf56LcCnPf^uS6StD{36;0`XBz&x@leIOa ze@{{VHx&`{?M5JeocpjrJb9D@^tR4ks;fY-`Q5B@WWh(v>1Op()&sq&e^9x)Oz z-!Y#(rio0z7AEKmSL>5 zuQV!lPGqvjnC5h3|09UMtw?jm)d(#}4S_;aqxZ{enANQ7gtdzSRQzrxY>By*g~(Q89zkzvq9f5=?j+Si>)f2u5C(=C{~ zIcHd{^{Aywa-8WO_?oC;0hl% zD*zS0X^d-2^9OWfO;ZKG@V>kFvQf8**8jVP;Y+3lBr{WEshgGStw>Tn){$7^>lgN0 z7Whc;fWwYp#H}eWC7;sA%je{gHHY3ZQ?)%|{+TZO7&q9N9puzbzZJO)-O!sJh9|Uo zzwhbBlV^`&aDvrmXhIWLM@})Vu@!FP%Kp?I3t4~M2ICFjhtc2gZ`@K-&UMYa38sYP z!&eu+YU1Y%g+pR>NOiILl8C4ipP<9dvgXQ1NG%=`7IYlkw4XskFpW0!U1(1ouT37eDnICrns-cF{6iJE;>%A*U z6DMcA!gfnjN$DFmuB7b`>pQaU8;{NK&SeYs)eF50VNSzYU#b_vrn-I{A7?dA9DOPt z-ncfKW+8>0C*8I%WuY}j_>;STWftueh+>Vienp{eQI27)v(H2k>eVbV#L>Ob;V?tY zG1S;?)mduNB2pThJQLGB%f_0$oeUQ9VJ*-a|vV3K?7L?4GaDzQAfg>9y5jFPHMdu(#e* z%t{zM+}z814Amn+%|Ot#GB1oTWbn)=^G z%d9ec0Z3tLSQc~S(=qi#6EuZs>Uq)%#cet2(D1O^98K1J0rWvbEXW-F^kg$boeTsV zo58 z8M2MapuG;maGi4*tB()yjgE!#OECUGDDI{pf`M3n)hxk>?2i4&(^TZm*Z;-9&8AQn zseVM1Kd)TuqXtckRDUE5Q-91v(JTpY6H-i#qpqZu z2B$RaoQ%c5qZEy-Q6i(HrV5N32R(4~7({P!)!HDF_ev@M%%^ zEUam!@fIfej7R#)0=Q44*^pb6~Y`><5=kkT)wzJ8C z9$Y@%LQ;nJUBcEfX`A#iHXr9pM*_s%XbIwm_g9@XdnjH273>|QXbWA%=~Xa3#QB_! zp9e9!U#i|Bct+R=z~CHWZ(tD;b($7>Q}dQ39PB#i!}I2)JAz?(^Nc%d(b78iP-<57 zq&9y9>E@L9EJ4aohqHOWou0>iPWn34T^7}ArfW^Zj`})C{IYZ3s&P{PJlK&`%ZLO| zWc2XU68%(|A3iw5<~ZM?YY5MHz$Fk_@ayXuS=NACEvFW!+L#EO`>+g@l({Qxv?MjX zVz_{X9&=U+qULgbpW6>>8ERN}1>{8KW? zQRke|U;Jc{>h~>cuHEd!@fgz|_w)`^#CFr#vtiBk`Xco-EZGSNA0q+7iJNGMHkmSS z7S5kF&;bI;n_0_#r+=FAD!|s6Cv9k`RuZl1JU<&K6n9$-YUcJ~T_?7Z3BtA7*WjpH zuoJBqY^K_x^K>~nJkqtcrs7%Xepef{+|?YnvF+h<9dwZ3fiZG=A<$ptlOZB5kn@0; z@n_CJPV{FzIP(~Z(mb2y=;x(z-@KNxp;7pg{cx* zVjCe}R1ncEBF6&AU4Fhq_a^$&@H+BCnyxY&?J zL@4mPIv4l?oZD^;nIeo+$DopBAXJl47_S{s1Cr3sWm8HZZRo=@(5wYb4dFwI(gftj zyGA5|(?seUq2P~;aae+K}PpU_|&+3Q(K0qa`c#oY%qbZo-y7fGH zJtd=4vjS7&phFW2iJ{;_IjP14QGrwxss@$00;`W;@_KkiCz!J9BlkrJLu>k#DRk!> z1F4svQduWU+6;-p8ESQqI#<~RSf5HY!q+!@D&7F;sdhvoVv1T7IPl(Erg;2azFZY$ z!G}F~4bW(gs{$KXI6Zz46ruqsao})L$LFsbI@N5UDx7cR>#h+PEhc66Kv=i&fJ@9; zQfE5V0nmNv>j7^LDOLE4w(Jt#<$ikFvc%EHoM(XLO$`|d(%{fg7vM7tyb;??IcyB( zVzk!=B}!oBXnCny4-_$rEJ;i*XQYEfVC~^f*?OqaM4Gik(R-=V4ZgbPvh>m51JvI; zzCW?L#|vnTJD42#&4<{pU}FT=FFf(#rakz_&q(Bv`R2_DgDU?wh46q5Sp<1oI$~e> z7tbv`j(d6h019b3``d3Q@$To=13a!d2~yy=U044Z{`k`*8A3@Kz~l~gU63WYe0}uZ z4E73-C+W*N3+aQ8c3=Q6+Oy?}u?v~Evi8p|Xa$W*15TxIIS}iC!hSkmzEwxRrU6pS zqH`e#Lq%wpQaCoTSL@j+u(x2;WS)a_lPKS5p;k-7iM+^^S&%$TmDRhRGp}Wik5DH- zT`xvlvq*3fSf0uf@1ujez*X3_F3PGL$$hWYdN4?uVe9=eo)s7yY?jzUU@~rB@jSt| z?iO(!ziiNchh|#*p)mn|*~KuyJ!Oc}i@$8P>RW>T8NyLq?fUpfKKrHO^0wB#PK>QdQh{xlL!ulnh9ZNiOQq>8W0WU6%q?roQgPs(xcuv;q8 zUys=Bk=ZKsj~$zv>>O{Bsw^E%xxX)lr^B9z1Xpxwm?Y4T9H$bM3JXP4$C z>dZ>FlIPKp=xRgH5A>l(FalT74Flkzms%9+5BjES}fWds|F)k=}$~h z4KnR>!Pu+K$EN7e44M*_vk==Sm#CM5`!+>L-XR|%*Dw9`Hg2@-AN7$K8-_T2ccFU{Zv6-{E zSqUgOYbc$4`){d)Wuq*W#EE5gw}@qK8&$$E3kmc+!!U=l35!m89@4;L{-NZ%o!k6KD>%OjD}9q&)i`+c%}iM}HJaznzwG%HflWWNTU<4F-V znA5%E1f(SXU=tb}j8z@Lj2Z@Y#b*nxS z8zii%@9;ul0h9Gb?#B1cR$F~KV&cTq4sA<-s+Ab)D6K@BxLbPyNqZyS`9z*>MXn(tf06%lGU8s zC?bu0{k;tfOqjggw=9mV)ae_Ba+iqJZBC+Q2B+6})$-2H45ZZBXbW5QUn1ZUXS!T* zDjPX;zF4z{tUG2;mn|dAllPj5FDMxi)+!H&NToe_OBd-CAtNpfyOydBa*QJL2=7Sb z{w!`K0=D$FNFEuGh)q4eHf-v1r5Fc5M5q`07pX2&Q!l7GiY`dkHHs=2gr9JvYJV#* zDwwfSGt!n5tbZrgiall0e&AHG19fdOU7A z#6*1h%cN*I-N48UCa@~Kf@;&U3q;=M^-FAeh=MF)nrghh2-U0MEidf7Y7`{0ioeoJ zyG^L@&w)RQ>sdm&u0T3a1dFQQYc%sOdg|^Nu>SU5Lt#uGeLESM>fXpC=H9vx3Cj_C zog1r?$IhOuC>CE`dSPBO&0d$A0w(>mTehZ~+6NV7AB8e6X@z`4s{Ee!#m8Sh>ifaT zUAam^w-Ac8DIqey$m@V#apv;FUWF~>4@&HMiKh_6x`|xtA|f_EatlX&>OBm^ldgrF zTLv=47^luXEmpi1{4o_Qr7(=kKogf7tgj%o%)(Z_?`c z1Kzv@mjZd@>dPr+t}zX-#WB|sb}latrK!5bWV|3s)u)4#WocL%;n|=P_O(Rn<8qKn zuHkhyJ_BWuI{v3wDS5++RaWyZ(u>o2E~)`_^LmxWAxyI*4%t%h0qKuLpoVkh&YuMV}m!0+6Bi$uup4_xNms=p4##^kiE5Pe7 zC}hLdFN50i0$M&KXj4D2p@dgdRE+KEZuxYxwmX(#=z0)8-A(whGnA?dPJhS{AI6`n$VF%x3XL-z~I{L%C-$a$Kfcvb&0?JW<{kuPnC2s0b-%u5i;@Q%@+PrfmXXx+4TO1 zd-Ga6K(PgPa=`2cUtfMpTd6#pt?AwPzCwlE=74|eC7N`J{V(%z{Z1#dTDT2+!ZP72 zZ6$k9%l5c~gj2U=+Ff-d;MNs!Z4N zuBp~M9dpnQNF{c8C-BStj+?QBU}Y=B^GpK`_bp3t_*dg_JAqseD+wwc^J*+Tt29kL z`^qHY!gkGr27YDax)x>TZgM51D}d6ZK@Ee3# z1=mTxX%Vr?96FTOugo=!;*?vK9SFIr?WX!@2`-3vtOzFP)BY?_rrk$44EPv1q%u{@ zkFC$vT7OLdyd(lxmb|e72{Jb?fAnF^KU79&}6&;AbivW2!)$(Ny9+yI*Cx^wq1 zrX7_o_?E7|<$_2gJQ{W9DIy@k$psZF50SWhXIG4CM@;+~zrm|E9%5pw=|LH&Df#GFG&==PwJR zJqO;^tr$s}YV}CXzdo5Dj@eOaqBe~Ymgu;fjnVBQ^Ft3ugj5utK}*T;wE7|#&!7&= zG(q8|KbhUfQ@rKfsY+bJ11(u{&*DmlsXxcpF?8#Iu-PJiTY6Hw;@YMWPap9&?i9*M z`62a1K=B7u5S9%< zZe|lBYNSdge*GXUfIhGrrTGJ7=#2OV<8VrtnpleCVp|qW^Oek> z8JlJ!cwWx4k*aVq$y%g-G?ZtSzVe;&ME z2BVaY(B#LklEYl4%&Vl&O!!9Q)KsglR<0#A!~WL>3anbhu7ukz-0GXtNaMK5qQVu8 zsGvnD=2K|mXQtF|KE^C$ZTCuDLKUz$JxpQoAF(4T@Lqh>Ll z?*&{%JD3maiJ5$UfQ^2#&(FhE6A4dZY>~Y%J;*{|==cMVJ=4ZqKk4(VD^#!` zO)cfjo^hsa>@XQ;ed=4o+Szka%rGi#k@Xb?+_&x#8te}mbvhD&x`pH2*Mv!=Lh@!M zfN_E9g!o|Qbe*}QXw-)?2f-!Rj>;<9a_Nn2bSrmbfx@S`jLiu0`U+Z|b+eRrfp?ES zt^TSqz8>yZRu0Cw4dYG2wozZddA5y@SD<`5G z1MemIiSKv)zwl;WVkV9PFJeyZ?Jk9Uq7~}j&nr3+&&PZFdKiDdF(m!+qc4{*%I1?os$>S06q?%ph`e&Vw1jC{$$OKd)k_fhn&qIFbb)Cu(HQ(M1>FW2hX zYRyjQ3W_H)8N95eiN1b4Cuz@ByPNo`L8^NgZd+bYQG<}U%sbsD?w$b8SgGe&?x50c z)U(iu9s&n7gu++|*%E!a1K8rf<93H5oZsI8Y+mk&NF!m5D7C0v7R>_>Za^8IAHY** z`1DY>ZjZKAo^>=5(P%ot#y;*y76^{obkmRzSIM!u!*d~5>g;XvzB3`}Sb*2E7|*tO zubmJk1NN@%MeQN1ug^W2yA=eyUESjZhTh$9JQ01e)wdHv-rD_ehHI9dK<@40DLAIN zpd_>IJM(ql6ZY$3h_2{gV%>R5UR}wJ!r3|>{d

R*%z2EL!msAN_BfyKic^)f_8ga;%@tDGvp% zoR4pbB)n;}zY}0TOVtzJI&SA@rQ0?7gY_!vS(-|jZe7X^DSYg6Vv6I1RjIRMF7C${CI(Msp{g3{vWxf;2}f^Q zGJl`gacHpIDRN+nWr$RtxWeywzDRJgTs7`d7Rqbv85b(cx1XfFLdXw2qwuWz_0|r% zj&BAXnLcLl5xE@1zhGmjr_tc(VE~9++$io?D&^DToZP;QL~p|&^xm=9u%yQUgGOD? z8(S2HmZ^i&mRXcD6S!koY18l1Rz{MgsuL~c;u>_*RnzsyqQ}NJiz}5iT!y{2`Ao*P z)9*>7Jw$Rm%JyL#H|rcVNwSeChJaIsVOA_t}VwXfgdxFBDGUeN&T1U5pYr#y%*>`pf;{c z;9#VFT#V0i`Qq}c*b)KH3mmUJ>G$N8CMAo)R>+Ew+K6VzhVF5vP6mG!7&c$-%Jixj zQJCj{izKFqa4R+`T%a*2YO1z&TNQnX);V|bPW>`mRVZaE1awxGV}VIgt;3#M>n)`e zP)HoOG=s8qFHfv-uZ*0ss7~UldvzGTzH13e$X$O_wUuN9#ySC^7>gZ@DzMV5;fyj) z@X{baA(-g zVoECTNxlucP8OCMniZUUl%poJ=Tqq#CU3wZQ#C}9XU7!tu#4#fseT#Xgz&{|>iJlQUe1)Z^z03J~xBCTmcEql>x7jix`}aO*RMaH=(d2FUvou1a#iZ0?0h&&9PDLHV*zJ8r~2rnzG6-TR_4atQ#Bop)4Irw`H}dtK8mZp5;O z_sYF{r3IrsM<1MON%U9t%7+re2|WdH%800_0~9y*at}thdg0N^`}aiAH-5B#b?AceiN(6d>E+)t6>ZXx#~p#`xb}=pd!xFZNwKkusTLP zhoMg2`lBxdYBS66gX$ly0^J?OkVjU%ZG6v2v5H4he_f(ODrN?a1F6D%F-w%VBN;CvneN~)(_Tnl zvJ!fyZ$zCc4P>y+UH3A6{@%POwmToGY5pdEn;oXxQ^2-3zD=^kwLopO@Kr&YkEm$J zuK0`j53S!8J+-Yzn6T-2KQd?<<2zMKFnJxafULS>NmdtgO8rOcR(* zh=!~B0_@`kt2*yhT&oc_V_9~aw47}nD6eYpb zvUY^Bc<0eW7U5!=;7wu_C=L5#PME;PRt#)9>z~sysZhS>oOAat+jO);cD^OdETr$=GCf9^Wt(&+K9>Q{h*r*D zGFNH#>lV%LC0hkg;G7(IYsdT&U`;oY$6ll${NT|8l5eiwHL)=c8cP`u7YJVT5CWbec?40 zM90<5)TKw?s8?)*by?&m_QDocO0{(t^e44~mfGEc>;t`ig2{Y?^*Q+6&3ZHs_{X4@ zr_%wyCsL}*3)H8}0lz%a%$6tFKXp+DIwhT^TurMZU$1us3R;k;E^%fgvi`~K|Hvh} zFC)9$i1KOgCs23oCs-)mRdB=W?=)w+1oAkQO5E*s)nh%WceIqE4)8-eS;}iT8M21^ zMC=NLVm}yVbVL*V>7N1LmS zHddoR?OA9AOj`|gWIkOSC3QVu#JVr)Yk_E6i8SCq|59wgRbGu;0ZU#U)LdEpUO82d zC_no0s;p(Spqje2>Nt}2EV4GDnI1+^23MaIb7V=}oGh@02aefQS;xv`Y%x(Fljjor z+4z-*DY|{=ZnX8+FEYBbN9V@tOM@J&!)nJ4dk2c6PW%ciw}sw{fCaoOc&(<}#em9( z`#w%s2R^<dG{%=v9!&jG`0$IPoO3Q6Ot>1l&}?y1_QZTbtYBy zs6cR?oK|60uT9)857lmO5pxDjR!N>sR(S$lc6P(1jx9xxRcywzZjNlR_O8_B+s~4# zFR_C9-63Y!j3s^IKL?|{Zwff3;HtnS4CJPw@ck2xAfr+J1FEr*qMg7CA&kyRj6eok z{OiD&4`KU{??|CKw)t3`5&F{}NF}Osu(hZj`;W1hc@*j3u{$cvySKd(!3S|su>BxS z=DkSBru?J%zyQ`=@iQ_)gZQ90P5Q%Hbj;wm$LV!4Mo{kPe5|96EIZcjMbD z-vHpcgb6qtZj1crL-4CYFyrw^9YczftU)=1X+3-6ebF;~tj8DNtfSZCc?CokRnDxY zi`~&pd~DY3c`TCt5^`(iRX&T&-N;}UWHq=3)CqsRx3 z_nVs)_X0Tww+YI69Jf5dCJ&0Iuv8)!i~aC+Sql_zZxCvd$=f#BKgP+}wAeoewJzXd zJUr&Sm4%;E3J;vq8g9KT0q+Els{}f1`0;FgpV1Mb_}wr6z)7ZZ{vJ_>JI4X9UHZ~% z^0mEhR5h#rEd{tNl*tgop_{d|K@^F|G$kJ)f&F6|rbUBcPq!>u;b>%ih#@HMhZ{^X zD28YxsZRK3GWjrG?lP9d{b;>m+XV6hdS@zVz_M`|1hzEKu|9=ZBz|9Q%kFXh6enz5 zoT){zYGSV`8~5!ZH5es65?yXZ<@s(zK3=hr0umy0S5`^kxxRo*=2UhlIv0)P(RMpT zFpaNLR}}jkir{)cSdu+(IN$9jPgqa_ond>6%@M`#g1LIW4FAbn4}pdV%>1&4tgj7I z%PsRrjxA2VA7yKIa^C9kVt?^W{#IoM^WxTi<9ATxJk!~6RB>UW`?;^LE#%!UCrLMT@1o<<;I^sRG!GYPI}k(rzCstyz1oI;bZ zzJzLwlwTL`cY2UP8Ots;J%I$Js(569!N`cN>@58Ao(psAcZUYdl{v#e?k`zE@Nd(m z%{ZkrRyuiCT~_P2bp;H#_cpDmiz7=#p|4sg@*ffB7(Cpcur#0Ik4smveOV*e7MAi5 z41r=@RM&S}ct|i@;ul-omza2xsl1;3o?Scyph{z>5a=u&N3wjJ{q)=x^%=HQ%9u%C z=ZEMG-ZNg-zkN<=kK+HzoAgHQ#_mIM8S&V*u1rJ|eQ!cj;_6rqi3U$ING4*vSPXb|w#6>(HOo>iesR2_ zSQq@x6f`s;6@Y^+1WFbSicT;r?C-0B5BYriC<=NDdXIiQq3Yi;hy;1;>y`%+Vl9B4 zw~Q7Gw%_c4ZZ$qD8qh`xDFsXcyC!x*$7WZ`TaW_fdEcQHGVUXQ{27TpeBJ1To<^sX z_ZS7X?0JoDHD~HC@)4WPOnH5WDJMo6b2vBRme z|J_4;L`PSn6PZi!QBcGq4=y3e96o3T!9@=j{B9KDAyD)QCnNWR?wZ8z0Dbcu@Z}&? z#Bn(ALLc~ZcOGmZJSfDx7$j&T5Gb%TNf6;mLWrV=>3vDNsh~W0NwBnPGU1B^2p6ZH zbpkt5)2URTJo6-=lnJpA@>@?YS`Pf=*kegX9=Ae)ZP5_)$j(Vcu;Emo_XAQO7u@9j z9A2nGZC|Zd3mF(Pj-)}P`N+5Xd_%!_T#vN7g`buo)T2@$?hgIYF1Nl1B{?-xcP{&h z=y`ZX{3^%eDpqT)kTF0C__>w-*&Gn4^@#60E?|#y+>uVQ8bue=aYxs)y3Ngg zFCIe&J~A;pMwNQ1ZBuAUYYnzYzf1<;Xxer5)Q zBaFvOesCNT&f~(U%;+JcSGlfE-TfE$qE;9LHMwc^t`Ni5ap^FUC(yPF(H|4IMv9sV z-wde`>O4#XW;`@sW(w-KfUT+O5vS=I=|)m?$xLB8s~RcuCGkF}#o1a$O$Z30tAkaO z)*$5T)`XEHO@x?!O$*nXJ>-o=`#qBG2 zP7ZAFK!=oBN5#cJXDUtjh)-G!bwbA>gH=QtKMEL~S&-mhYzH zd?SpE3D=4QE&rO~S2vFvoP~O4&L&)bQV^rt@x|UJ)P9eazg`HC3^|bCzdi{L^D{kB zY2<`BA>Utrn*f&br2!Atn;eu$cQ`tDHE2=|7RJ%-5T0D?w+QGrHzlEVEJR2f0`zG+ zMrg1PR3#;C9}LJAX3hftQtHUS3|%46$+Sv*+U8j6C&Z zJvtv(t2gR4kNL-(4O*@JgFK@zL-~0%{(&{*%Ylc|LOle0FyDgRl%`8XK_ki~g<*)1 zaWs&*(lwAF4&JgkUsU49^$Ah8C$0s$+EWg;+BXun221NOYpb*R7zA6l3Xmp~3Mzip z=fdnsXE^beOFysQIZbG}ssa)nN5<-*W5^kFBUs2Y4EA^!HuoiPCE?*j7A$2j*XJH* z*@J$)1mpF2RhpGLwtXWv&@P44U#CnXFQaxo?rM|Exd+}T>?zDfLsWvfGBl@2h`>w! zWV3VlR{8un3*tINlgGY>k-XxUla3e;%N2aU{j&?Be-p^@Q{QuXBx{;k31H|hPX z)u}P{(}>FpIzzVFVo~N9uTg{JB5F;9ivU?M`^xs{p1JZ>xhXgHTqm4@M!1@lP0FF_ zN2LtL^d*fHpVp?8oi*K9<#912ehyY{wzEQsrn&i86K5%?%p%>M(v_+#T;-_4{X)uj zZlW~&5#1jGG)shn)W^SuJ`1>XPe>ZZWr?PC3e>RX>gb>|dGI{tg$z9$^V@9LCTJJ( zsU(&01>X&PN~6WZO;&6oqrN)6HD-gWu@94wDLkMJurJc~XgeUSj-gSnUD~@6#Fz2C0&QTpOW6^U$5@~flKVl%6PvYA!Sr+ZL%1CXfz`PY z1Mm3Wgb^ih9$#C!i5<<7qsXcuVjJnru?9{CG$-Gd(n?QZ5uErW2SZS7Yj_i<{gqek zs3H<_$UoU0NYAFqZjqq4N(ro=6OA2mA=a;rZi;q)d!Br8Gmd28nfhEr;C>BNK z`+VK`iRjhuV)52Jhg|7M2$k_qIvD)Laq)&DjOwyQE z@97ttV$SA^H6*cat z<-C!Yg{8g>p-R0uY zAhRf{>;vgj>n(yWw8ij=s#C%U_X?%BRN^X~tx`sJD;e?k~XtV)> zeR?cI(cJ^%nen41&%<@r))o5T+-A)UYHBY$&IU$JLR<tKx@=Fu?){>2F|_q07?_jn9%xY3bRTg7>X zwH%w%eK7-#e?Af;7C4ZZW!)FPF0g7}Ft}bLS=ICb@R^{I-dh9U10`+YIVg&dlT>5N zq;ak0%^<~0rUzM?JonPvVP1JXus_~X%lcR@oE~522`&!yd*uew+$|ZhUEK%LDtgNC zl;Ns*P|(V?F)~%}=q2LVW2{m*@T1agv`~2Myb?s%;Z#P4(qpL0GceAoRqo^CIBbCkCy7g5tqLUJq~aQg*y(+y!H>QlR&Oz4NBr_W z7qJq)STZ~9F(G&q;YpXGlqfQO$oMK0q1lb+pqAijAX1_VxYW;Z;&e+&MYCg`sV@pV z@xiRg8@Dbi9UzRr_LtF*>a08E|f%S$}tnzKz| zUa+Ck<|J|bM%%A30>{S2Ze6R*Y6_Vs@7$!Qo#JIQ9!N)b}D1PnF=zny6Vz-6q7N3Ow< zzs?R7La3Z+_vSg#mxEG}K_*7u`-(=SzHNO!NoI{jp3G?3stI+edP=7L{l+TbxVuBV zE`f^-KAr5wmtqZQEw} zfaT1pQw_f%{^=NJOuO`3WV@;0fia_mErX-t2PE_1lPn3=>gX=$esq9#t z+c)a=E4R&%o;S-QBsF+`;P)nHD8#X-Gvj>tjL1uJpX-qv40m7NcR$3Ps%NI+ zXjngq4RL2*m-tTY8w(`c!+ukk2?cn>3;_g6pIYMLqpJ9X+P1v9?iD`=4E?O_%yV_r zgqrc^h!wEf5f^N6CrrTaA_%lrq8MIawn?@DLtz1uEpjb|(gu~LtJ4f1s!1)#sR38w z36(7|O#vM0XQt65M;0|D&nDAy5SG_iY{RWv-Hxx1JGZ z1yR9#=@KAwv}A$6E(ZSyuw5wTCg-5?BQn$MJ`N~m5_<=7v==iTfbv3lGNTS8teJ^J zuV@MFiD-$sJs<{UTI{HYAcGp-P7Dna-`%R>CGR+zoG*hJ4ABbpjexDmD?b7;z>BT= z1JW^(cKj{dI!zA3#`XZ>9Iaz1g!Y2%%{BnFVrpibk{E#$OaQ18ETU2^WPnzrtOKJ! zYsK{j6b~0SjWZIBQokIkT!G3ZAyN@BJFNx*zq$sLKM7I3B?wV^$BCApw~7^q>lOI) zBc=}T+&V^B(>elYrox4$gTDd%;*EKRQ8b!#> znwC$%$p*%_M{k-&go1<0&b#n^{pqZ=`)L|ccAgXb>tMK^P91UqNN;h^`{8Vqx1L^L zwqHxLGslubNo#hZymXL80G$Cx43K;FF0> ze%g)Vxh35y8q9<NzJ(N3>;HIin0GJLc_Zw}a+uh{GS~L3j;`D z;r1>}m15yDp@ATq6O^7AY8kZzdZE$+`TQyhxxS)mtvy0Jd8(kr&5~pjl#(J7X4Nw?$oXnMs-6-Q+)mpQct-Kz(@0?Pfy|Iw_^Eb>A@jt@OjBp>oR7rI? znDfCOKT+Zk@&FcaN7$H{N3=!*fH5DIZ@6hPKe`)9Q=M}XWYKG=9LpDmi`&3bn@99* zalwd!lB$?-vdmiCx7n;Z)n-IQ{o)7-q#(FP3X*%IHv?ZJUXS-eRq;R-#4WJlsch$8 z1BGn9!t-OHILtzY4xu$ClIE7ki9{Obr{iQmN2)}j&A#DsrL0+Lg&wbeL)Ru#85a7+7`TQl+VmM5>t7h)j`MU9SECBh9- zEv17n$bYp|G9_<3U*=MnRc+?xZiI~Gj0#1)6H+b4LqcuAyU_ZyGp{)7&9-XyjnGS7 zb6>qu2V+V(MOC+iH&&Bwx8fd8(^Mcq(?(2h!fnr5LT91kgLMLwV+{}?&>{mt7FMb3 zptnG^8_B$5bs%KY2(OZ$ShkEiZHN^a}Z>FKFgt*v(R!*vS% zn%8hW{#xSRazu?OFH+QYe}ukn#I7XjDa3Lbx%?gTvN;+vl!%C~6io0vq+!0@zGMu6 zoOD1v5RL&Rjxmn0NSC^iy+}JlMXD$VM>s*5%1G@`ck^fKoj#4vzp6v<%ebpWsWXZ9CS>LZNcmhWAj zeV1p=TQ|<=63+tnG#4xLO*c=5w**4N!kz(yM&VPiio!2`)L8fHEv6rYu(*SxbE2*H zq1AY8$fvqc2e{Ol>&s1*sSUUA$v*k#=NrxC9!G6HOQJ8t<4;um$i@rdlEl@x>ABlD zhzUbayAB3LD;+ASBj&Wh@S>H9&}zr5w-Q3}{dqah+LJvOBI0HExs?m~9nVx!araun z#{1#9vePomRZGs7dUjtk@63)Ld}UcCI@ugJYesc7J64uYmQOb-H%u?x&KVW~z2_mt zlmq=~atb|fX1|U`62^BZd7IZ<0u}5Hs`CZ{kGo^3_OMVFOpU{Un5uLE$WUf^BFsW( z@r=?`klv){nryfwA?e{?_&7w5A7Di4kn6DNoR5*2q~+j7r%#A;!+(^fiJ_F?&q8Y> zK#g`v`GDQT7NCg}W+c6ZM<*B0fKcGV#}nN~eO@8DZ=gWCQ5=-oLY?iGYD@l9BnxB7 zEElhy{E3Gjxo`(H)1LIL9FQxOKZi!+3U*T7fCl60Epun)ogE@4ZZ+IwCX#JXTUwY9 z`w`mz273~CRFJY}XOp(Y#m5d+S zbAI(Qn7F(AMfycN51^!UQcInouC_mUv|#^*SjoU*q*<$83(YRYyg4})l4~fbm7&4U zC*w-cWv}GSanqy2#qx>K7XNBiqpJ~k4nrQbxcA{HoeLl!gf!cEV!-_tCC>~@$C|6EvePAM8;ilxv8 zw^h`qpwhk>#C8jbcn&k2ho;=c8cejmDK{xWbYj*VPjLxq)pco&PCj4_E}8*AShG=Z zX7!-3beG;oWI2p{yAi49&;7=Lth1_I7wQFv5>YBJ3Ri?Rg(A2^waY@0Dm5T0MxH}v zn!q#sbfh=H+Re`R3mh87BTX}sOiSd_*DO)V3&(^md?e|yljiY_sMM5b`}{<3H_MW+ z{T*-1=lt3)j+LS=&SYu_*EVpfce$Z1&fv?-!O~R6n@#Wx^YR6p9)z~zcaz1N)>D4# zugc~?wUPnaB}R* zA~_G?I@LAhLNYS;)lP0_1xv(2v_$WvV*30fRH$!#pJir`T zLX)Tj2|{@>A;CUMyL|k3{?9JETqwuLMREk6!hb}gDF?4L%-MczRBRjJW93c1+{JD4 zILV>FTU4_LBwfI8RI;VG7^a;*?;IYxs3tBfu6F!_&M)tp9yR>Y-{B239J5!vIk%}; z8Te$|MEwSRn0QKEpFbxPU|_E9rBBii&g%8Fl-Y4z| ziB7I^dtXibL}JawRYxSEG;GGxo+0Oa(U%5u1aM8)I6N(|R@w4OC3{L=)sCh`Tor(G zCu#zfjANXVn){ims)cu%M+chExNZoCZNGR7>FhO|&UAcrU6)>(=g(&^?k}#ds_Qi9 z%M82Kn1*#3O>0NSJUd-*%_sOI*oL7T)l>^5&T1A)F5oz`fDzc~c<$}AM8sn=X$ zqN2=&ksZFN6&0j;67Z5;+&GvPFJox1@DPdlpvk(JE!JuN0@|2xmh}4bT39~^F1uXl z`oBKaAQ4hC-hB1x1drLF2>YT27@F%W+iOC+m_4cH6j1qIb@QAm`&tmIuf=ayHD9)Y?^GE-0Pb6`*;BV>$Ix}qSAY!4|-I)nF#ox#epA)7M$(;#m{ zO$mN?*?MJAvG9-{$d+$7BiItS?m5ZMG|;wy_w)I(iF&s5!|x>J?5Zop~x!{VL951P4wU1MSNLtl@lB?D$-8uuI;cRtN}H8kB~KzSBYR~!*4N61o5 zR8#f4h<%mr7Q$H$L`OOT%WB`^;%4+b@N&3xrh+X)ws7T3I5-V%>C9>4bN9bYAW?st zxcdGv(e2Kf<4zIp+Vt9j@=`SryC`%vbR9>up5BP)QS+XQJnpW%WQm4QwC8!&oijYp zZl-J+)WXi(AyQB3oDMBduIE11fgG;hX`U%9R+cridCChNF1}v;FjF^#a4_F zz&It7RmC=?VyTEzU$3jlPGD!!3embd99dr8yocA!&u6nX|A01>-evxM;t`#bVca0i zV`S={{WACBMo-o6W=OBd!OO)`)^cpE6uAe)@%ug$NbQLu)cUg{pMa^Vd?X=UrBg2m zZy#pBwO)^gBZhBCn@)q04+89tcXLkV(b)pY1gstQ_ix7PQ9M<^ z8M}e(a|`uS@5)1-Y+bW>WHXr%N(mHu3vW;i?Ixb;)zL~%TSy1f>a57VXjo*dDbPi~ z+-<)3-h=ooO{eZbKGSYmiD{=S%mn$Q+63AUTKJ6*H;lBIIy?asqJ4MThk`-7I?^?X z3MQx;#!a&DbJX*2%J5!*D7Mn|#q9k!mbhauE~M%6*CZxRW(27xDu>;=j53n)i+dCY_E1Y`4Qa-+uEEXu7`zPZ+JRXz3;|p zbiQ=&c+}`ST{OwZ5D|J_kDuCP)=~&O%U}11`qyS@DQQ$E^O{Qx+)~12n$t3?S03X1 z8q6b0)1(Mf8-qs}q?AP4E<@z#6<4!iuP%vQe`pTx?3+pW9aIfPy1Q@ z`|+(q1hXUT(mu2$Au6Z7fZQ80w^L0`%%Et9e*fDAjQl)RsJQY{+6c2V8K#*;3z#(w ztI`-MrXD8$Omzq$J7(rA?m-k zBUGKadRG1J&-~5%rmpQf*tyNA*yQVWQ=mV_`RXEFDTxyVI?W)mP=< zPut#p$H=1>v!S0!4YG=zDD+IB3S*;7a7jy{c7G^VkoH$0MCwuLa*lioIuLLUkSEM% z{4utFtLm=ouvr(YLS=l*CT6-xP(KLjEu826cb4hT!p;Y@`q zOf|z)gMCeRY;%lq59ST-$JWOi9a}J@d>`o!P4mQi>~kDug`O=m1?GTE0CwpyQajBG zq-!JC2#U=!JvKj=y3H{k=OXEWmYVtq_`U%(3*lAg*9mJb)Sb2?UZU|uxW)u?pm6-2 zuYYW?tx%wPd{0)a2iYb`i(b}ya!~vFije0`a2)zNyvaNhiKHLON&KpeF zGU{n)tQQS;A#^1&mAhBVI@K3RDE{ijdj6@1N4^o3EA$w1V2Tud4f`EV#Fq1p<&NPH znP<{gcuCKgZ1zJc(nG`zw_pk*B9<*3n}(kXQ*PYdN%hym@=)F0G=PZ%+omnD|2@dn z3w%J(KcRlctcq-r(9)z`iKy+jiO;W~W!co^DX2Y)8@_Lc-#<_SqlvX?gFGVeGa@Kn z0r=0?AfCgF)4P7hjIMM_afPWfYes9*(+@P?wz8S~&Xi6y@y`}R*K~Cks|@O@07{2+ zH<(x$qle@0Ny4?eX(pD*47QG(E$!Z+A546cBp!a2o!`0U*B6x>Tv}H<2NaB!cne$R zR*?1U8>lSH0@%VWJPYKE!M&QrQh0d-9GBYl7|TXF^uW0;Y8q*e4$EdS#65Vzq7)G~ z*h%s)72-Y@`j{@YHB+xax*(7tmsrZwc3t6INZxfEE|&0!$R#nB;u;AWoz9MIyyV~k6_v9otRGW zadmdx8F5bWPcy=iT-&oh$eFp&aZl}zT_t8lo2jARB6#g)C_I`Zizf*?K)%W?))lof zSh=Jdp{GVwJx#(ux`~qTe5+tkb}z7B`=sKV^GH1>ZLLsZU9TfGAyi>e;!~P=+Ex?O z#KP=o)x_IKyMAbkeJTf=wLktthh}s>ATiY^Pb>-tWM9+$c<9kmt)msevq8xb$k3crI&Ny8-_5IqkM8PNP)p7s;Mv`#F*%Bv4T zBAKel6WcD$2$he9MHwYqDuB4rI8b(;x_lA;IvB!%c*fhkz6pdKwVYe{ov1J+f-QPD z1EX371wE#~5qlskahl9yo&@K&&wjHnVjWnwV||p;oM$;2yjwCq{h9taD|B9XHEz% zz*@-YB+EQ(v#V3C-?sE3>MeRhBZb%^(!=QWMNe~)GHyzW0MIG$kO~b z%FZhnE-5CEs8@>9olP-aHB?*+%Y1QKIB)bEvQt&7#7q%(p)JrUPIb({Iw07Sk%7Nw zJrbz`O?Meb+6h{4W9KIvI%L!UCVApymdsz0Sz?9lpmzF5)N!Eu+dOWesRr7nA(Hk^MP1K^IW9v=wGGRi!<}Y zo)11V3Z(47cI)oj*3pZu$g4qC-v*nHgp>NAgQnq#?B!3?A2sdk$<)h^++yB=yh-A@ z6b+F&f~H0I65nwvQ8F^d^6}zb^oYWUNU==n)Vc`e@zTnL<>((=x#O;hki-}!4QCt= zd7T4&MeCW`>+@M9O-8RutK-(tI1P?@kZ3Drto8?H>N|mk7F?>b9xRK>;G0=(q*?9l zemlIkrT2ly(Ob>K(zmpww3c7Ac&TpRDk#3WBQS=9nt>QP`zM-7GBFaCCtj7ai@X?n z#`g7*qTH75ykPJ-h{7=zegX4I)_(ro`orP3qSm#8zNPL!Ls!FZOCo{S`<3rgx9PRp ztkq0~Exu4egu7HJ9SPj#pum3ag6Jyz69OD;ZVpreFW`PQ%Ed^63mH6c_O^U zK%+GUXnkU3++5RxRLk8J`teklX=7lwqrqwE6f>6DN-;*)T0Az^Vt!5kr0K-o1F$!C zB>7H#yn7mmXz%nby)r~Hfa;iOZ*-K?`QQz9(y%VH^7_b$NxehWsRoQuk0>@4gAuM< z+}2gj8G882IFuy@8@qvV&pmdN1J)!PkD!=AKz+9`Be1*73&&%~h;qqC^omi!_xhqR z`Zk_|Gmp=z4l?<*A)t+E4YS4WO9}lY+EWyCzfXVAt-y=FZ`@UP_gg@Z4`nDPK=fWM{G-uf(;yw8fz+(7S%gd7S7T&y8z*J_=XhLo;jPr z$kt{?V%($A@S#vk(fYUa_$q#!ME2{l5)r_Ynv?~VD8Pl3eD5YcI_J~KlmM%yz{|bf z3r(@xC`E3X>xg1>`@Ut4-{kfENG^6s&d<8fxBW>!Z>T8mXXm@o3*`{y0K1-!9l(w+ zS!hFkLiUiiCpU&MLqm|&C5dx**dSW;-~r*<<$*D{Lo?CHfn0bK!FB{Xou11ohcM!t z988;Eo;G5S{g62e!DHP&*^z`#az!FFN`_3Il1CgDd%VV8tkpjHm?YbU-y-nqD{t!m z$VQJYGJ=pYwGEF9#@u)y#AoNc7n>0BvyZR>Gm3Ebl@o?_j%mc3s4ninpv>$c+t(zPz4IB z0ncH-{rb~RXFFXN#mWQ%llUd32P^y=bOXg&cCF|u@suP6?NWa@MWvr9w%U={F) zJf*DhssByWr4cv1@29VmsIYSm9bx>94AA2RCvx^RU;W+s!J^gL3l9vfG@~d>m3T2^ zggS?iRh3u(Qvj6+F`b5aCfPUXmP);Y_GC)@i-NboJ)e_mi?rUGED3w7Thgk$OXrj@ zBgyoq+v~fHx$2mK*H_P@B)VF|^!3n#|1k9bO~4qy`R|ecx6m#zlbV;K`QI>zn7NCo zGtkl1!TB$+efk=5`vyT>nl;{ge2!A0JxE z<^W>AAFM{@58U!!;LTsU*#Cex{~n0HwxQ}~;`-Ons5!ft|H=EyDq`$n{ugBQ9|r~K z?BXhFW$gUV{>vHvSDFRzcgOxz{r4~v1OC=v{sRb!+nYL=0qre`nKXg+!uBq}e+++Z zRugFEYUT1VjDJ9=KMM!zpQ`_9`IGbCKmV!B|35Yk=KrU5xHwYUWS;+CK0-e~ zdiBT3Ow7*B^7os(Pp=4pCCv&ES1K|Njg}1ASwu% z>tqImLuemnX!8iCVZZXD&4BWwb}nex!D*bGY4wmbH!-B>JS>u#O?q6we0qA!n(EA* z;?JG>-Pd{e^jf`TTK%B=<6)guG&)?RJQV_Hcc#O$#rrS~ORB8cpW0}5z9>Pz=YUr3 z6OR9#@k+1GUVoT7m-_ps5D~h?&2Q^3B9kifjv$XuwYCo0k4H^!prqAU^$~O=!>zL% z@V@vT;w)|(OQj0Nb3H$hHk+FZt0C>w{RJTf{Pf2jvcGEDg>~nG*18!iZLVl~Jv~LN zBNmMNgg5M5o3})Ym=!k-VmdI7t~C7es*g`0ib<&Gf4NhHk!czoCy{{Ui1J4FRtStK zL2nM#7kx1pO6_v#T8W+V)6BV3X1|SX5Hh99QdZer{QkO#+7KwQ1Q=y{`szbB7`m=~ zNsok#S2L|Gf1mqMdCv}%5yYpED0T$?XiJo+Cz7iDwbgW3DnBwt^!er4S5PTHES=27 zMN-#q5oeJ|m*MjTt$D;nMF+);jqQf^#s?29fAWpcVn8D}PHkE3{%^kbjSi1HMQcME z!vh3@Q3-0u3KuJ3d*RJ+UmF<%ozJV`H;|g>>p9=`8xFA?b2zJrJG)J=AoZH|j}8zC zOeU1iotkHJ6L*Oc4K^}+Rd!9X1UK>#*9^MdvGD1)Atn2{`u8UV2InLPSF%6zYQNbj zD7Yv{Dk#P#qFHKui6tVylgKbUTM*M)D|D*f)_%k=aj^XS9&fSPbZ1% z5Kd2WSs$L|jC_xyz5#Pi8AZ1Y=NabvPqr@<{x zZrvIInWVF%%sR(;c@ts^7BQOc*c{D|Ro#n;9F-jQV*I|LU>~rV;F|&O{DBI^EUp?A zi4l_n4UdEVh@}3v!v-pCxH)(Ic3Y3S((UEA$G2va!>-zry2Yj_yigXmZ5yOY^QZUc zLqEL5kHa-VK&QdyY_&aZHna>V*oQ|Z*Hq!5@#Q#{au!My=1(@dQ@(jPr*!B1lK!I> z!v;eq#l2fUO=_51!DpEdGxYOao&fYu8m#;Gm%i!2*YmJ*;bu7Cl#w^i$qn2f9g@XPtkn_|CLHKdGEcK=M|JB-AfVH)4`<_CP;!c6$!8H(E zN?RXV6%cUh6UnB;Pts!ySX{^~Cj-X&G%nYrQeoT8kQF&C{IK;-$p~g%i%?KqV7i(ca*X*m`TincfTLEX}Ekh=!wg;ktXk zrU?J%4(L;S7VY@p%KS37@PHn>kNn@+B&KrI5T+^eo&m(u{6MMZY8p`vPi+rU2L?kE7dTY{J7j4;NA?o~Q zSHRYsW@g+F*LONHXumhUccS-OUFd)^F6Gx^l8OGEN<=>EXM~Xs7KN}X&73w;QGF>K zn6nQ>fZxlOz-NJ0X7OU1{Kq-H8@-<+;?|>L^g$WhF#P?zm@^8WhXvQPKa%f;JGYr@ zMT*-CS4wlhOEGiAYV3ZwNC#J?zT{HOQqG0V6C-TJ`6Nm6c89{Oa~>X?Q4OB+ZA%}Q z{Y38zxO#0j{4L&=Gn6Z2GGw%yxCktxlggQ?ed^yC3{oQ4YA^yFMtaDM`X>$B2#I!)`wz^@SC{l1H5k# zKUQ+O6?Kovf#*AcW>#|@bG!jVmMoIDWMUy-24a0&drYLHECK-Kt8=@hqz zu1>5PM>i0)w`uG>oq_b{N{Y@+nZr6{9wQ=`Gr^~{X(Xncc2X6A19$f<9zUecNv^S{ zYU{7dBoZ=(lMy_Mxz1PZWk#%-TTu5LMrgicW%ite6WSY-SYF+@VU4|so4DgT>Ha`} zR;!9$!+SV-pt8{&w6`gJP1(^eU>`a@RXdiIyf^3lO`%c>M{7MgGlb_DKGe6w?Q?mY z;x_}DR9rXKd#B&M+GeC2xN8IA6-2mYk!?h&*Kkw%9p2vt`4S9G#l=#I(BBE1M{pH~S;DoqMqV{GsloK3X^&5LAO<7NxwD^4u+ znmrAPAFk4WKLP> zA-*$D3a?}^j$?^FW?f9TaI*J7OG&@gjyPfH1@YHt$k;lK9CUS97hIiRP%MQxe2l3i z`6jg5hqcF7h_+(+?W6B~M+@n4*v%AWJDphM;=+R^m+K=-ypoIZ9)+@^WScxn%z7NnmZdlFSy&zRvI?C z^qri~6k(8zW_+p1@o06lnNA)`Vo-eiwA#f^^2)-ZXa!gxCq?8NdG@?{n@rHO#Z~)R zfZ^BpH-~J}mZ74e?7V%Jjir%m(XvQBFSL0gdqPz!m2wRkygQIzrA9q8c@bQ?u1fv_ zBg=B3C^bNvaw z;oVG*{7(FC*avEC!fp(*(HtY9$dFT%>owy<{it;&Ip!zf<*&**W!?J=XPUuhx^z>vnabJo(I#a) zm6kjUEc4_G@CD9p=sbHVK9QXlb55l->QjIuwj|rWORdC|oP01*@%At{YKm%9 z#Cx<^0|SspEESXbZliF{yr;(wQ4Z@C#afp^BI`t=v3paK&)!K-2$~}Xl@0|AiqZSd zR-+aI+I4wU&vgAQ%-tU&@vxarw39E@ne^O-5imlu#iQ8J_8kfq%EEN_!=%HM%~!De zkprsMR26g}pAu3qZ9#OdTuBZe1f~L>S#8U^X4t;*q^XwoDID)|<9f%3mGjX33{o%g zC5aivM{4Qy9J46e;&GgYl&pckM(L|1ni2ByfqdHpPPET8xp${UiK)*b`aWO2GS?hS z#2~=BKK1T7;O&o2An4T%!wZR!WCH)<= z8>F$mr)_gIIar2)&WJ%iv*q|!IRq>p0ZZylcqT5e$m+%NDacBaSgs1(;ayUc<1BZOlc=gH_0OF$%!^gGf>7?-caRQ5YXg)m$+tvn$YC_jH{ot24gn# zt>gqUj;%z1+HjFNI(-2crRGXo4(P!1B|GegL`ShE5Sd|>*vfp^ZpR{eVMB!36+q6y zM}9&OCIz;+-KioPv;*rn!rq%tETF`^u!+T0#NtjFVw63xq7B>!1f~R*2a?rnhldmP zQ41n2mPKC|*V$}Gza8@~78vs>Q2Q~~W2tb8!tQIrY|%ktex#pippLg7=i#@`FRI-F znB$L8{t#iJ!b;U@^f4kz>s4#Vpoa0+B71?Z)Zk(ICo^^B-!oLi7Hw56VT1CbwV1^C zPS53EYB|{J$ms65cnsp*DaFi5O1Z4^u*L}#=j_Z;qoU-7*KMeJY#}#2L&R1ew-`cx zb!9iE&|J3wsl@W;On>*dqe}N-Qj6@^CQHK+5&lQ*IjzSX_UtY(W|Pf(xTCMdF`9&y zY1Em28nL8&EovSVqS%6pbh#;tFy|9#H5-~Q!gpHVwKRl$Il%=%kiB-o6 zEs2a;{Zoax3UOW9Qtn9qrJ0z_Ae)7_4+H%7m^qOOS}biwyr2{o@fk9X8rgQFhbxFB8_?>bFIC5 z=@ze9IqsGi`&-IwLnGA)iE}3$j)B<-Oq(xzgYf^o%-x5-QqSh@ebdUB94au*j*AEl$mTe$i6L$5XZaho@ z$bC=I*Yqy#$9_P-ufkxq`BrqJ*&IROs?XP7vM;4AF49Q+zT69$ldyh{73ix;&vKjL z6hwn+N_@is!0pkNJ1a$ZCfl}033Mbq=WrkV|W$bi1deaYc zI8QI$`Y|{O<{{NmV?rGx_yZF2@;DPMN; zeDXVHf-K{PM|?j&RLXD-tZOikq`I(QqTq)6l5B8np*THVYhnIC!xe<4)g?C?^ZfN@XQQN5oiI${tnB zOU}QI_T%$em_9U1YC0^Nr`6pOdgy^5^gtK^-DeTAm7)3ph>ip5v`;qpD7{M%VIbk@ zqw-fHuMHRuxoM!UK}nlN!Q2W81k{+jPd@2#SdXP8oLd#5aG@_?%J58#Bz0MtV{;L_ zq4@dM9Jtc)+C`W_P(UylRz&0q=-P273clv*GTk3f=i6})&`Hhxo))`L1&yAx8@FyU z1D)QK+p_!!5ucx**J~OV5;)kcG;2uS)}d(dv5Wv8O9UE?>Zw3r&*KWiOp$w77%gVx zw@}x0c#a&p9=)U{fj0-Ti3iG;&x}btnq9@OC^uBFxhz_|AqnB zHrvaipns_3I&b7LPttanq<>B(yx2D(G@a>H7?F+NFrG79IbN7-HE*RJm#ICfufQ*OwIYvm5~XB%YN+j5mJVQ3K4lIIr|eC5^`Z)+G`^34hJW$w2+$5?-4@a$v&tzk_yEgw?@%YS ziZZRfgSB8q78E&;VYj|TtAC(3mg7OeX0Yy~%HQbgZVmj@<_}-gY)?)f$E#~VpFd5E zaXHU@_n5ZyMbVUggWrt-R*pdM!nYS@cj{Ux0ok_by-A<#q*eoF=iaus6(PDG1}^ZT zuoE9RZ86Wj4#8lgSSJ`9=DU=uTAtB7pgqt(P(Ki`&CqCwt;KG}uCrKt(uAq^o_*S~ zLB}pKW^s@YkRz~nF`PAn8`X0=6+&BQx=o>Pn$sTcZ24JRR4UebGv?GbBVk!42E!%> zywcyo3mi{BvEreiro3fWyz2O5Vp{1plC22lw{PVkG>wr8 zB!8eA}&meN# z8@>>)0@)^bv`HSrx=ErJcIC5zSNe2RnEnwmP6PSXb(aXU75_{aN4g5lx7VasfCNi_ zbtY(O{PzsY26>***^lD>?`pXqXS7)~FFk#!@T?=uwX_M9%*zFxOiL}KaXv; zI+qNv=ORV1Z^7bQxldE_{c;}yJh1f+)XBQ_0al_eJTNg^>`%EmnO2M9@C`ij9oTTP zU6chMpM39JF?3{`I%u?(TYReA40ew)q$+CadS(0$x@t&ed))l+EcIG>wKL~^zudz6 zAJ6sNMepG$Vz-d^(>jkOZAlLU_^)Y#TrershB?_g7`leua^h~M1>a;Qn#*astGa9tYW-3Id>8E{DTsoH23{^X| zocB25Dta5W=8eVj-{-A5fASn15cU)8{L;DH87#)jJSL{=RTma?51EQ;X`o$~LM*Et5fSr-uimlwTtoD*! zA+@$>3T8WRGH(Wkf0%5ozZ-kE0$P`ug0aAEAqtT4kzq9d1H{3{_H%1z!j-8$TDmqJ zaz{Q|kyR`7JtQX%M>EK_=w(@QxFJJO&eFq*bY($7?1g#}@D3*!)^!N@KCQkT++RLWG-JL|KDLW? zRPpixA@Jd?usBi5TUe#v3m2@=_oX8v6YPjn>?&!^S>6dIE??a|y*mKUIJ8C?(|zWP zD$=!QQTF#~M>%jGXTUgg?1Bq-sF()d-QzIYKLP$5zWbAh{R6G@3Gn{%_<6|vFWua~ z*x65!o$4=|2&bWL7A|n;YiHtSAz|^8zx{=j8N`b^^it!7_$mUoinY6i*y{=H$w;nL z-aJ9*S)=YlBK!QY8K-teO7?;Eb_Wh6T}{uaI8CtMjdij%SUpH2b51w&m{M(6z&^*b~IMk0f>8kQ6HU}gF9u7dj9iG#A5g8F~C{gapf@}P#h zQ2+Az@3=Xiz)x<@2NxiMc;UR8NASPn<^qDiU-$eMHV5+kGW;2v^ZY^5|3}#TCyD(t zng#x|+5JSb|4VHCe=nu~2+;pQ`G4c*zpChuHvLar{y*gB|K!sDZqEzn+P|hI{Dn{d z>{5R&1fG8*{QL#D|8pPvv+xth%_qqBmtOZJ!`qKQiX?(*SYT)ZZE zbs1!Lc?()4lZ#-h{n!;qOzhb7wi%Bt<+};%_bcpKhT`LtptIL4nk$~cefabArmW-U z=)z&bI)Kk2BalaG8=Bf|%fdB>_yNt#jL+=14EWt}^u|uL&-XYLzxA&hZ*)Zmi+n!q zpgL@PvOI5M+`p2xvbb2%JUu^&-~5)+z0J)~XSI(oz*BVJlLSkkV82b+d+x;NU1H*w z-TK8k;l;YeAde>^V%qFI-}`N zi}YBHo`fr!#ithTt__w&)DP6 z^n~zE2+7f@yiUdoLSNMq^Occ8_o#th%*;mTnO;WAE$p1DGx_}Y^KREYuMGt)ew>uV zwjZ6DEft>0>~66^@xd0yCq_S3RB+yjHr_rw>u$nYKKay?*;#GJei2F$r5fh8#o8c+ z^EK7?Gj{(xqWoAu1C~}`c6w5(6D7s;aI=sZt$cC%+)=P44^B_IE-h<;d{ui?u9g`s zcS8(D(Fm~>C@5D>I+r-m@o^Q|DMP?~#>9Blfbwy{0gH~uMOav=5zYSYgBDb9eP*yoB%n*gf2nb13r z4M&pI@0_;7*TeVHW%oC?Te;yrYWGcbf#|9Ax-)}*c7nd)9piM~#8g3Neod*OeIB)1 z^LUhFZ6_ml6gvai!U=V2`Ax0eN!^s&xeMpbF<462p;=Ec88WbybG*f(>?^P+9kUkC zool7%Fzn5O+1mN$=)5GlzvwsNdQiy0hlAuHe5Jb zK|V7G6eIn0tUA0|;h(0{;~4m|q2>*9?snK&AFD$Q#~qCM>$B#c7n0-UFSXiHzQveh zx5H~oVYifF2M@}y4c(oUA=7r7?G;i_FAJ1f%21-y4sQHSX(sho7i}bAw ztQyYrE7q$WatTKs05Ta*I9ha3PVxKzmNjGPMRn1C`~(^Q^+UPwjS-K;;9|oy+=QLes+Kz{p>9F`i`GY>hsObWW*Bc;_3b5EH z=BuU@Vgs3nR;#t&=Hd zfWw?}>%P^y*A#x<_W1msqPQDfib&*Dg(I6DZ2Or2U%RJQ^p)qS39;GNfwxdi3(q5g zKz=`}D0C(CgU2Fc)y66JKi3kgv2muTBKBlj%1&=E4N&{ctHm#$&D|OR(;zrt_%x%g z75l+uX%0x_pmnud4@=xoq0^Jn=kuGJcq@m2adPV7`eq(Z0N!tI1R-4;ec~+8@)?H* zgFbC^C}Rdwusw1HPO2o}t#5NBebgC8tePm;hfs>LDX_B0l0cwQFE65BN^9YD-hgDzfa^aSpNXQ}Z!(l<4M_U6Wst89K7c>K{d&@-~-Kfo{=y!iq>E z1ORq?pOi6F_fIt7Gush6oP4rtx{~6~Z-JBEx`qmFK1$nhu|Y9GQ*E|b0W1D!{L!$E zHPa6tX+QTu+><)G^r}eGTF_6b__7wm>qH9JMMae2xE53yBgGmd%RlURtX5SKYwkJs zYT8b()Nw3tO48?+b?xZoc{=TizVquCsPt!k>=Lu0Ho`eUK}JSyxDbF?o%MxS;X8%d zZo9`(*F2sh6TSl+Rey}6X;LCMDYDun_X$6qw|mPOrJG%e|EQz2R}zwyb zDZOczCP* zi8uKdSoRf{qN8;s^4$t4)3@)&xCDKmvW6Wb(S~MM}4<&n8fK&qa>!U>e?xmi`vC+?M zEFZC%%65>?)pMP0>0^NqcMMRz8MAydSsGIJqwF0Un-rx4)Buv?GA>Z6Y0e2Xg;A$+XIRv5YT1o22$S zxYpkYvUhSlVq~MRzbj+doQ&_T>BMBdpulWAkGm-gZ$-;~%uCznLOjHlL?KK9M20@5 zsf%PTAB)sT(=2G^)qgQ&n)Wy=-PX)MEDh2l$D-eF6IPu#X;lROfwsua@iSlsCq+MCqw$VvRorSJw!3t z^37nxs*XmLN%H69q8{9+LT#Ruuaqnl5l^B9=SPpMO{5t7Z{_7%c@_?%-J}G~QUs9I zSe`$S;482rv|_IliPigI-S7#m`NT3XdFuU;bs0##o|Im)vQ;!RC(6_c^!jwNYvlg% zGw+ZJ`qX^?&*Av38^H(MMc7(IIb6s#jV-iRZ3ljo%`LgLiY-3JTSBv;Tn3Y&c?0Wp zKJPS>Dh8}uX>-^&<_U2~j?oU0S0c>n`t0X+CY@~iDP4f9CCgU$3guPZYu0IBunwLz zW3}0`l{)RIV2gYmJvU+UEb9tBD)Mnn(K-uGX_Ht+yP06&_b9i+l?>fiv8G}9geXf$ z=H81fQN*HeYY44H`)mn2oLRvS+h#o91)_)RRF`ALb)>@rw&mP zkW@*3e;#~^PBkUB6f$~_)|@5eSV;UDeQk+Y6h%~4*o@@`5tr~F8O!ynZ-{Ohgv&IU z%n*yB1W8TIq zo@)L^aS@S5lK_jt9%YA8$MjIENK=xv>4Dc@%+xBD-x9vpw_6&ygU|#>(HyVwkzMF; zBrsFTPMThyJ+fjvSV#&%Y^PlCozz1PrTyC2qFG(P|GkCV0ICL+`F$JFTGz)-=w|W%YOsk%FEMP?>m}ExlIReCe}Ei!BUX* zxg=H3^Tkjde=%qY`ArjU$fRGU*EU*rb9cVCZLgerl~+kMaG&^XTmNDBOeHeexeM0E zetpvor-KT@FqT{|3#uJwV+Ns1eE)rMDu?dRM`!Sge7_Vdo#I7X*01VYC1Zjg8ktOv zrP9sR#w4RBer8$U^OWP`opkS|qPz0COo7H(<)BY3ek{USu&(2=y)(2{Cy-+xM6{KRb>P((Ka=B(@!~q4o%JHK%Jez6 z{%H6*NXC`tN1nC=jF>|GZ)szUH1#^044KY?i}X^nb=|HxP74Rk0d$k-{OD`eVm{YQ zAGj;eKfP_jKP^a+$-XLZejL(xio9b|(Dp>op&+?0=DCXGap z77&}cmvSZ_L{ZLFHFLG-N+FW~cFO{FhQxwTqd-sPPLn{=MS`?Y69_igRXGz0cFP3C zf%$PlJz;*VP=459nq@A?NbWQR(^40OkjPhQa)(p*OI0 zMra$XoesJPYo~{1!46Zmu^@(N+q@9Nlx-r22l%ueBwXYT&Lk=FewMjYG*1KdfHZ*{ zG%|Mzy)`r0VRosONuVm22px0^W|wRk3}S~pEIgJgkZUl^WGwQ2o;gtDEuSe}p6L!-;)Lo#W@KDzKov!;(wQ2t%4EwBkf&UOer8)?t6HXTp$-G|3ydvg zn-7vI)1Xb33u=~WP|ie#EwMrUAP$M!Qjl#hk5=YEQ3f5<4pNB<`@y|Ir6FrUmKArE->6W~b~kv8tU^#H~~5ymy2Wam9dPZkW?e{-U_buF&Q<(}k{ z%`L2)of$u+mJ!4F#qJG+Z>*kjfHSC6n4CRM%aTSEWAAuaz9qvcEQNGX$L2{1nRJu@ z%arq-t#bfIY`II7Yo-g)rdun4b z>hcrqSGJ9iJ>;#cJ@2j7J;ALpO$%9SmVBoq)f#zp^I}@jAsN(W)<$-fx)4Wu6|zZ- z5*K&5+`Ev$P9dl2s#*;o^4LLYWHSmr0PCu|}o?tnew?HK2!>Qgoa6Rp5a@pcUJ5->8Ep&*eLC!isz zL@WS1-~s;A2*vuGP3A;Ka1OQin@wP%G?lUPa98nT73dVF<5O4a7l5C zqWIFN+t~^87BK+jX;8r$ngAwtQ1BYUCEhJUC+cdDV>iF?(>c^m6r-RHW1LHTzXxKd zxj{=O&%aUM z(GAOt8?~pqLn*2~*bGwgHzlIG_M0FXk(=p7eithWPFL`&32~%stQu7 zgbr>Kfl*rzi3kGBm|i0FmwV&B3!3`>RM)8Af;_v0*X)moy$B9b9AD0M+pcLGq0b>3 zq6l}(tw|msdQl!CEO!6LY7WAl31;jjSaUvl>_sSoNQr_IgxZZ{OwmGBiEP`|Va(ft zT8YTojqb#+jr|qT^ObNHx0A3ox&xA2m+2Z(^UDz92VIUPVpK~HkL1$FkzBjK8)K(u z)5XpPWf-Hh5U*)5IwHobk#P~pKS+8en*OjFk>D9cI)cqJtWp$>JbbSp)M!+#SI)+4 zkCB$TkgE~Wf?c@Cl3(E~V@d@j7(aZB68h?SJci3l0`uVRHGD2yOoSiZl6h3S81>=| zyGTC4m=jSN_q@UvtKXgPrztLL0-|qbK+@8)o{P9_W9Fd7bVFI<<9H+Vy(A_ zMCz<;r<|E1zn;)RZmstoH3M+a9&I}_Kx zA*_F%_;1)12wt;rcEwH2$IlN(SHOSh{y6`m?=!%#BZ|Dm62j2RhpsQby z=FimTR}{~`L%m=3`0o^-|F%&6Be4Sg0oZ;gR{zh6&wod%e%g3Jg1@%E5vyOl=g-gn zXJGXQwNo~+viPHNP{^tf){_=Cd_iz%&4d3&?kGbJK@Kb;6;YMx=`0>yEPn!VT7rya> z{;|PrKhxrQ20sVC$IlHORrddWpPzYv`|-mQOX8C__zqK?w>Dehkw}MPsj(avEOXmKZn!bY+OKiyZgwr?zh2A3!~1tXZXQ8+bNlTc zJpAylgx_rNTK~HZ2*_%}Zgm*CH@z`vvixAFd-KM))+|6VR| zo51ho2Li%FGW?z%Kfl25c>(bY0RMKa09@Dp`?c_%@pnJ|pGx4*&oF literal 0 HcmV?d00001 diff --git a/figures/opt/yosys_opt.pdf b/figures/opt/yosys_opt.pdf new file mode 100644 index 0000000000000000000000000000000000000000..8f3f0543f3dfd95e155bca65968d6578ea84288b GIT binary patch literal 29919 zcmce-1#leAk}fKXnJi{zW@ct)jhLC4nPo9r%*>L-%*?XDVrH`V%Kth4IlKEd;>CUM z?wy#JuFkIN%KWl2vuiq&R6#_HmXVGXnzZS>`URSWfPuiy&=Q)5hk#zj#MaE&oPhO{ zqy$YsKtL~MVeM?<_<6K8a5fP!F|so@f#&0bc5-$!F|dJl&kBj=hVK)A74gb3r1RgM zz8Ly>2wYZ*B&6aG3^zOsEl~y(!;&(@EKgXLT`and=y1saFqb@j_VNQUb=mI8rchG_iI5ob+$RWlfAN42105 z3A8^+3~w5w?Ceae1RN}M91IN1%$x+ee+?D3vvvL)>qPKJga1&MxTBqm{eO_{ z9~1sX{aak+Pc|G4Y@O`?Ol;)wH(8Rv+0n)1?=#`gULq#07DgsY;zECj{{%zH#L3RZ z(a6M!;194$|3S<@xcn{VKUWdszlHkmmeC*V|H0osA^tNDy|Rm;^Is^5**V($MG^Xs zV0?nj`cLuy%?slnp#Ea!U+c0dxz|>O5mxl^37XzumPKxdV^vK^LV^amL71jIK&{*~ zSWDoQZ+XOuaEI141 z%|2lB*W;4UZ^(Xu92^KwX*d5RtwF}$_8*)0x^!J&c{o0n$+0A@B4qdy35PMF0x|Wn zVhKCad0BPDTx*HuW{hNI-@mxN2A?qNcN>DFfRWh#IxC!*uLUjWmjWImnIx0$S1Ic2 zVx-)CkF+5*l9gzn<)UgvFvq5*%vwf$P%*$h+zHs6FM{FadSrL5b2_0l$9Y*uSDas; zK>wDZ)S#VcZqvy9d3V&|g!n7RGpyl*;@o*+FatQ#AHfY#!`xQWBa8#wM_u3629sg)rvXd^05TkaB9k zbOPy+8uH*y!(Qb4M+IF}rgAFzvu33PNrjf8%2d`J53yamZk z;Bh0_N6Gjoq{Kx(X|xJGOL!nDi@&j8Y5s7M^dY*l?UCS&S>+n61=Jd{x}9Tus zrnljUiEDWrn}pdA-9rUKbVaCYkGkTXp+NClMQ^LKk93Y9YSct1otO-*;UPv>WXzoo zAf8_n#0GLsRdu7x=$XtpUdecf?XtO;PG#yiWa^KCh_ef226_;)V!t!OWyor(|c$RTArA|VG-YH5j(E9Kf`@ApZR zK(ta`uiqH_CL4XXA>=?Z;M6_5Lc-5ti{+)2@Gms131xcV5l!r822I`aD~924J@y5@ zGtcS&f4aH9jOve}eHsfpBlF*0<}VZYhyDF!H2)tQo!F;4`46tcl$L>(;nS7=?OXnn zQ~Trl{;ReB+Y9{FU&%dnQB&HJ@SP(Y&g=dgQP{uWJWBe;*;GhL1+Rk){tm03 zdSYZ|{Fk2o{{^Ifhm}7u=f7&;uh{gL`u~SD@Q>$%PiFq50qQ*_1)$!1XRtRBkdQk= zx)MY>Cthi37$;%k+Gx6PGYeb8GW}vRYg=0j%RGI{|48;1I;I{9Gw4x_3!BakFZYve`5JF{#pKi1^GXa z{-@>tC(Qp>@c#<^|6}0)-wW)Fe?86or-!_zZ0Q<4cE`=fSCmU47&FGN&nIN;L0y_2rpwHOEg>?fV^k)9OAC zo@-Cy<35$wPjMd?w=Ey{Pp`Wl9Nd%djcj}$+`Kt{e(#q#bAIpiX2XZKTS~rsAKSMz z!z*4jPaabrrq3T+esU&0`wtfT6&LqUwVvF30ua}oJd9l!sgq)vVx zK;4w^oaY;pJt@7AB&q8@5uI2TB#(0T<7`e&CaGN3sS?n@iUS<}6Ryg$k|&JCU3f(W zCe$?_d|T4NG1^6LkP#F{u|!?;CAMfAqQC`i(u&Z&JNu2m@ORjudmA~o?Rz;nRrh%% z`HE!=4A%x6T}lOST@&*b#>UvO>lKIXtulKSB_4;Eb_emg(?m!6kLvF;fMI{)?k2|5S1s9}PZ+J-k-!+8lLLf71f1Afwpaqg|sHMX*B*5-UeRMc1>SDOnnCq=N)jhX{$*3yvhkMB(U6 z$OgafQuZ;;J-pnO+#OuvBi#Sw_;5<|tu~8;z+#;ClXoMv7>N(fA>@x;@+$PW{C-g6 zk@5JD{{+ji_5FbPR|iYj?JUof85D;e=Pz%RxLY2gDKi)jJ-ovrv8?3-2SCNJM>TyG z!u0Jd(e!fEA@!9vr+#8Q`1k3NTTFL8;%V&%o$ zFr3Yi>n4AP8PUZv8+VB_i|dTc&4=g%o*x(PY+6U)gBIot+Gj#C93kvE{^j^K=%;{ z%J5xsk!AwXFtZQK@ZB8Gyjk!wyiAUyu*CLJ9v~yk^Ahi*vpesCF&gPnIGT^x7IXb$ z1HrgIAdIj<(`WVa<^$^JKmj2_^Z^z82<%`?;YOl;U1?K8!pntb3c7K%P%R?ZyUu$1xqhkrt+m>OJFy^sG8XA zF!wwdf5KykV|cw#dW8YeA56FM+lIV7&R6ap5uFr}f|8MU#9wm~4W|?(nORnRIu$_^ zU0-Fg_A}WQ$oXXWvWwWY_TJ0-myF)i_`BgzrZEHk_lj8n**l z@P51*ecR8-T za5OJ^nSojAxA?5P|Gr#zT-TViI@(&yf^NWl&?_1LEstH4=Y%g~naO(hw!hXG0QYkZ zC+UQpD3)`YV3CN-K$_pNjL>D`>j0MBaCs{#j0EC!bT+GgT*U7JbGa#zM!(FrtKZsw zFY$b*b+-Y;aFlxs!+gAP2%#|JQSa62z7t#xXR+Ml5Oq6{>yM0riudD;IXVd(2ksY% z9*w!aykwK|1D9>{S1&);_N~o|OzCv7?i1tGPvaqbI$7p`W5SHoDB=dj8E;o3 z3Qj>S!C_by^bHnz0@7wLNllT8hC}H|f(-=uIQkOTw$>mn;MaxEme+$WdfS3l)5~5q zPIumqt216|Hc%gJJI#*YmPuv8?i5p@co*`7Qcvyk;@HA#B{QX02G1$afPXf2hInJa z2@PS>3duzjlNcwPGv93Lt1wB|QnipKfh>Q8+KSWo7}gtcehWO)ndH#T<`~8XNLFew zf~VO&wj1Qbs0X-iul{0WEGdDH-e$9L&xHn?=SvG7{914C<)a~34#~qNgHt_c4n$@; z5JsL>poXPKk_L&inQgw11^{CeW(ZV{W2LU6Zt%{AJ9h@Fg&}SfYA{BwSUL8zE094U1m7{jw{SxSAn?VyYco7`v*Y|$2U!@w&g{Nk@G&Q-n1WE*cB|% z4L|wvKAat3@-7J5U)jKWgJl+K6b1|i$d<=Ju=_RrJm#2p)^SMGrhy+?N>zdc0fK6VX@jTMedd%COB<4UAy)8V$HeCe}!%+?HFpidXg>5JlZYewuHsDY5=*6YT1lDX&ZeMYRb z%*5R_H?9{0yJP{KIe-X*VMd7vH!_6GM*`7UqWcmWE@dWNpqB5?t(L68^Fh-jxj-b> ztILG~#z-S$N;0%6Ge$9GjVvcQV!2(s*JS^>NLm55 z$_xaqY-x9W;Hlhjw*kM(R103 zEHd?wOp>nTmyjV;UbE7}V~Sjef&i|e*tvi*iFkNCvP|c!dH3VAQ(9af&tr2Fh+~Zx zQrynZCQtH@xn5xVBBK=K#-M5XH3!3dk+(E?$;a0iB}jmPXB05g=^e1prYrEAhS?%_ zNCG@S@BtQjuE3uldmGI7{O%gKuiOu;kbfRV0vjLz9jYiO5HE2L%+&G~(byp0zwxt^ zV1G>@%@wOe_?bS|T<9_)m-kG(MK;&yq6b{>qBxvIzZInIhSdllFpJ~`|Ih<2z^XI! zE;ab3z5E8nEu;jiV6bIcCE}h4yoQ|R(equial<+(m^j5#FyGq%e z^q7@mbWKrmW`FN)iMTW2YBf9I3@yp{GM6N+vxi->$k@7uq|>#AgqnL8CN?W6w}iBW zbkBmGG&egnVX-sm7lWdd0^MS*(gJ{z5Cwu#b3+=+Nhi4w4gJRN8R>U_v=H@GcRb!W z!cET`Gq{-#1Mp~T?{LYm?;xlI0uT2-#`~-0Ig7X23+snw=7EEoSp#EEkc*)yJeCYD z3ER;azdLqXnGd@dD-{-5HzU|;z;i>YWfmw37 z^1HcPvpnrk9@Q-s`!HgD*XHWYNJ>p#zZn+7z0i15Af(+3Mw@73yNm|UW{K1c0%t#k zHHQO>3jq*oJj-faC z3L@RxDWOFA!?@J8aaa`#%7PP~PEPzj3|EU<>GI|&ZBpb+`{=^Po)~k?aWy(qvgfKK z6n}52IPY(Tu(o&JLv97dOMcL=HtAs-lIJO1*TgdKMXHCzV=U|(a;{wE;Qfq>97z(r zWwSCUVmZz-3jwra_Ou*`!vvLi+ZN!4k1rVtbnlwa6JldU;x;G+Tds3rptCSL4>J{2 zIt4r2lC?QDZki+6CqV_oXS6r+obJa5?cO-vyqSv35*^H(cn$g1ReeTUHq3a)zS=>2 zEJE=^-$g3!zG-lnmUJWqk9ku-P#QSXOem2Ik?n*UMcbx{-JoSNAlz`e4*p*1S>kt` zxutIsA2F!vK9X!>J_d78Z%-Y^{dPI@OtE3{Y>v(*E`fl~E_sQL4vjt1zoI@O_%4q> z7|9YVAdV&HPZC2_ng5^`E6KpHmk=6B6yGoLrA)B+_a0)0xu|!2vhCeFWvAxrPv~ck zHJoo}PVV(BXQQ!vyCbonrNrE&yCd++ob@dSBV9Zz%WSm;Uml=*ARbhCS5CwAwg#Wq4Vh=np!oKHDHVnLTFCXuU8R(0%eo2l)iIk@X!UI+h0AxiRh%d`~@fa<87gCa(5E{%~P^lMTr$ zQObSz`h57qx4!t}k^glJsy`j-;Jli?<=y3cIhgQqVwdsfi)}74;zV92)>1=FZM<$d zrSt_tvRDWP!(sK%*A<=!f#NF+T}n`pV|{ENLl7#BzS`(8F^xzdjx-8%?2p=QcrSzf zB^!mTpZV|>)`OvZU>ywZEAV7yJokM#`o>26rBqB2)-r8izti!CPRJl?Lw*FovzyaV zNeS5)D2I!@xQ)TI;a=^fzI7;Kp5t{YewmTm?E07sF% zly78pt(EumgR@BiZ0m`~?}==5!qcX9BHMa)zNe!F7O^#UEYURrjK{j+;_k*7vE0-O zht)S>z7IC;ga$usrN=?Sz*B~Yu8`4N_M*R@(1N@rhxyCd6*MF_|80MD>^2d44lJLm zU2?@Q$6Xm(C)lr(>qD(ZIb^8Bw{7)vYr%?^vSfqCHcEr`^<-wEbN-0o(j6Gvq*=iq zSXMOfqlSv2lESKmq9B^i;=~)ql3qgfxUB^%({1=01y1Z=E#?5`%WRso>rKk^&D^{2 z)h)DddT)mQ3f%#C$DXQ3j-7OO-_#V@Br_@73q^aIj3;SFb`=!xEORO7NaK@=kxfB= z?)52X&?tHe$}-{z!sk6B{bU4LwMt$iBr$VmImS18cktYxpAxX=AOQ(d839j>+%F>f zkf5IONPs0cKG1&D3J|{zQlqIsB@GwV91U$;AYcs#LkgwsIP2WaAJtCS8pwsdg6|I@FgOIfXZ!>=*MfZZ})Sx{A!*g$9FFGnv0nKtHPJ`LyBb(lletFqwCsj>j? z`?-4FCdT2I@fa=LFjK0-mT^*ePTP&?y z+xoWR^mz17q&4+P6+@6!)+SsFB^(gP^~U8(*E5-U#)=@Px6NcrB{1I>_#bOK<(h%K z6GP1z5f;!M&qotjwlq3gPdIR1j>!vYX`#vUJ!ot62E}DL6%31Er7Cc2c+pe~OEF={ z3&vWc?-=c@5{we>rb~Ek2-Z&wd_&TKDIgM()OE#{IpkciFZw2 zi)?I5qNX7#OzYhya)E~ zq|uc8gXe`xHvCYe$>I2#&f>RN%~vw&LIw;{V>tzV|AFfT^J){5ByfC5jPHKL6+g{0 zdP0*G_Uv$ez7ofJapSo`bE3PY4Y@!Z%X!DB@obMD0!CT}aThMq+H2f{xSBa5+dDnJ zrT4&_YK0%BlP*!gW(ZExS@Z@syelz$b6qND81(m#DG)9#`PMJ7P|Ku6v;!=uTO>03 z+>Ro}Q*vmZWxMKxMRddwLzB150+$#wuU~U`hZ)HsM;~|G)YRgqEjDl9sTz08MO5tO zloncf1#&8xP>DC+=^^7Lf2>7ZSE~u=W3++c261RG_ZMzb}yMjREEhKFot4AdxSK+Nu%fJBAntE?D>A947}fM zX?XN9>T$D8hNHhS#)rhr47N<;>`~lWgu3hZBpz1;QHi^K^KsP_u_~( zYm;TmZI4xxf>m-{A3fg$F8BC32ER0o4|l=nhjrNT22b%RWE$Y!&{IC_xCx{{FoDvMpi zS(qndi8sMHX-);ox?dKZ6kH0Vl28P!PV0f;#kyKMwTw*3min5=eqf~zCh5g>bMC5YvSX`>}W;Sd3jVO z@K8n#tsh#GL0&EIDcoInT6MW#2&SQn+(-T`>x8v+j$x!H_T$30&OI?V(zrwR^M()x z^14+L3!z6$TYEwf4Jz1*g48xyX?<8gudxu~VS(C)VS}Q(kpVRZ%fE3WwYLb$X5vkd zX5-aDX2X}e9o>2m67SJeG0$Z@)h;YOMc2Px?kn-z+>KQ_8?=dEY%YVWzb*2MfV<#2 z3FBraQJ=XQ#5RUAjIKrbv$BB6-vUkc$d4^q!kUu?35yU=Zudhrtr&Jm{bKZ_r2oqWeyk)Iaeewb!|sWAI6 z|Mqq}_>Q~Mjf3A7h_t(y~(w|&BCaDJ?3n(IgX?Y-2%{ki7&c2-JJ%Lj`T%V)Q%97@W|>$rvH zZTuGR>u#%o4@2p`zw;KxxPU!(RfBXMUvmACMAsv+8rg<+3|hgX@UBBn;3`@%WYzeWQG3 zQ1+eX{jR6|tu%S<{E=RAC4n))@#$C;o7BnGjSc&hLQ+#GMI!+;oA#D;m0R~fn#rrf zHPQIZS(khi@+Lz*fjVhFm*iV%l&C|(?9x-hYXJ>2>8PZ3u#_coy-2b#tH~RcoX4h1 z7Yptfb%1$(QtIFwO*vgg5vQ8(YRY^~^HeICnF}YK?D~zNZpQq++^8s&_CZu)Z}UXz zLBxJ)f=KhORH)_@)u>q;iIi-+Nf(P9?ISU!O`E!$TP86FYPD?wOWSjN;?Z({mcIIj z(}nKO(66^^j#&*YCS4?X)3S78%iofN>tAxQ?T_CGFZRDjukF zOQ)vl-y);Cv!_3Rn z0o!%c>QH2|2{>_bFK;<33&K5K9v|?+xA$%Ze58{_ z;m^Tw=nINH_6j+8C(J@VT&45VI19rtC#-+&8wYtmdb&fo5EkYcr*Pi|I(?J;5e5@YGjs?|HOle zI{Cmz-FTEo@J)tLIFva&5MP!aIy=Dx9}JL@j#6)NFxNxwA={gOz=N65vX>{b=uHWt zu3QFdB;80=L5slc5r8>9$8<~^Q6Z$y30Q)X2C}-4x#_=QxT5uF#ieMeuU(7H$T zUK!+ljcw6=HG1M|YYDq`@&4T9RC!a(F4#cxI8gN~=-QSpkRc^l-U~BSzII0TTD7iP~? z%K~ofm*uiG?4qArHksXg6U~Z(o}%@x8RD3tMCGdF;3U-|u#;@Kl#5cSEJxYApPoS4nyu1!KNndasFsA; zm@ipRxdAOX6UiE+*7!ab*%_o!`GSg03+Y}b(9{zpnk`48fDdIDK(3dibv2j7!pVOAnrPoq&lJz|6^m9E0Ud)YDv4_UFE< z6kP%U(MthfNcjqDXo&c^nbH3x`n3e(X_bCZ1HcHnT#f;M*p+rOew(3JUaW7jS#mM2 za~UD~PWv@e31U&HQq0dZx#)dD8q))vN@aD*R2d0n$%oGrJv0SXVLG&4SMXzHb#uc1 z9#(H=>&fb81!nDU6IiPAiwW-zus55ycLfJ`Gv6>tso|Hr>HYP&n}ZUt)~gPadvn?& zd~S608GfgE6Z!YPKA~`}8$d_s3vEQr;Pf{OLBHz)eI-SHw9ayU)W^XnF)LADSwO1l z$-Q}uIR5V5{sk)N`%W>AqtGq3%2FFY! zvr}r;k{$M|3&*HiChqkdM`+=q+6(O`s%3PP(ZsbG^)ducX4fUO%2UW1h1gnZ(Qb>L z+S2HI4Hg~X@v5?=jU{z;fiKZ+Nf|z=g4Fk(P?i-3(i2BdA&i1zfKmt4i)`*8toVJX5BYm-3&v&>@5elPP(dmORqb!N0 zrb}%Uh$vF=kQAi;!3Agl8O;b@@LDj_U(CnTQ7hfo0dzPvF`o}NJRh^9*+7+OV7UjV zpt&g1AFO(>hw!$*wVCSv*9)n@jb>nAE36a(nTlTy<~u;MG$f&!L?FA*9Z2;>;4o;- z1u7Il*!VI{(grn3A&`!^BYUQ}!+X{RL8)j51e?Qqv;;xdZixI{Z1W*!V9uPMMR40Z z;C>ON&HKNp)O>MXsrbS=PTVOenp>IsrGNttQeH7gy8#UDNE?fm@%DEJ5;<^#J5mq2 zeFx;k8*)G>h%T!6=l!VwpnJ7)plT09wWW5PsJZ+NkRvbR>Y!J0f#_c@fx{6qpFp@rNnESE}Zu)L^FgvgF1>SnAoU&z_58~aGrBZXCyQ(JUeZAnd5 zrsJj=x|n9XDiK1vMSD*zCPf5PM!;du6Gt_Yg&GGi2N3Y~C9VMW=vyLgJ$@@#z7V093wW`(Y}Qhh=@Y~!5n zi7td;GYG=m*&$E3Q`jNn_bk3+$Qim=i13ROkKg8Q!wnGaZg`%Xb|FVIDn8`9YA#i< zRyBtnGZk>eAck*h;nAsf4P*LR8M@$WSjbF1tw{a5&f3Si;@8M_Ohet*6v{v`>gf#T z45!|`DV?s|Gs5T3PMWa72sKugKUYnP+%ng#m9aBkbu1D_k!p@@l*!+$Q9Tam?rfFm z0gO6_CLP~fWq&$%I%F=+-q5d^{+MZ>gfhu<%VfIII%Lb+OP;amE2A#5k~kK+WHZ=L zUa}G5wzenw3ILRC6!&+;wu^Sq-r0UVY46{$OTC&l;o@5#shak3J&tj8U|Q`~rym9C za|@&3GvWX#+akZ_!Up49Jxw$nL+rCEcGm6Ac#zz2Eg)I zFUt-6y(rb4`BjStI-697{s(0m@!DJC?&GsYc%-@t!lwSotXDijZO{0yqSD(;QfZ|+ zf_EfksraQJpR3ce!@^q;ehj~$!Sm&zA~YB6sgWD+hBz)ss7QW1O;GV7OEIo z7kk7MkkY}D5?hKwY|`D*F~vQ=5ZGH>tk zndz8z&L;;f#%%ONogFO3`ZzwKg*3!@QX^0SJa9&4h z1>CIu+yztU*Ow_RYY05xwdwtW?8hsf;DrY1(SEuZ@!ZF`%oMVxsWT|tuFGOpS(m6J zo&g&sHnozmm8a*du%$H;ri&%;#DrlR1HCi{8i3p;q<} z`}cTq+TUqN{H%Rl()iDg0JZ6z3Qv=?-8f7sg5P9%y7-yqW52Vtle=qaC`r@H>7D~C zWsOtAb7h>-eY75@w4nW1(?IBY3kMOL@j9(lh;PqGHM!G6=mAg4CxnIxfg?Qc7Xl65 zZ*9h3Qlzo&Jf^2qk$yBA>n`fH7ZTiUa_|$fS0BSTMK6dY{E(~1-%*#1E&a*rMpTvW zpFqYOs96BLT{s%zyLtivDjtO%QZkSzr^T{~(lxk0cl4r(Q;oi!;qIjWn22WdcBxDg zG1rSN!{v#v7U2K5Cg_A#g4@%U2+tFOTo`n$zb1&U#D1NtDUFB_4cg&;hBXkh74l<; z@o5&xf6A0rj`w$+XbB$i7(_Ru{!MS3SJK5H4S-LBU=7y*>}{ozuNePOeH7-?7~eFg zk04HiP9TQD6!~j)I=;pq>=z_q?HS!hK~v!e>HSiAD!p+GoJa6|7vDERp~vs+STJfP zz?XRUdc!y`!gselIDrVg1MufMV=+k{f?_E%8C^XGxE)seUM2OP4$tK&+%(gKda|1v z1o>Xv86~Is&VZE43D~>H@!LNE_>Ug4e19Ee`_6n76BI-gmlH6(g`Sf}iB}Bt*XOuE z2`0-22$&apf(0McsS7~E010bY*?)GreilqT%Eh+84^f!;Qf3DL6mf?T) zJ%%9Jq8vG>NH!!l!3gw^Mguh#0Tc9Omy+1PFCj>5S|I^_LZT;Z_k^;TmI4Sf7)2o0 zYtJC!nWTjSC1SBiGJ1*@&JEMngwB-Ef4&CC6A4D5%aMSMeq=`Lf4>BnQ@SHreCXsA z;rPvoFW7vhjLel9%$Hn{o(ml8c8vnuc?d$#G+1JHlE5dfTN^pqa&bw>ffIj#k;>@r z@9>WT(0F^upU^b!Vw|RidIo3~=2%w*Izt;c!3?6qa7k7{GV7T$VEe$Ii@D6k^p&K7 zog~37HLu<5_S=7~1fq_SVyYgo(o#30c(N}0By`Sb10EzFh%WC>++4~uIhqF3>-+4$ zqI8XIlwVj&j}hp$R8yzx`+dbamxmDM)&`A0zIQZbQs4R4ZFm+>xl7Zo1d69ky4}pm zxzPH=uD9dKFHQesi9?-i-N$>lvsnp)ah$tCm~>*Hsx5xo?HZ4TyQ6i9C?AdUk{apt z)X9g%KDr%4u4AChFlgn9dP8~weIYEtKI_Sdt-T#P%-%02NzYTa!DQ;BmJB_jl`a?2 zb>ttVlY5b<7S*M~WO8XMvTT&(NGv!nGC{LZlm)Q}l8%(=7fg`AqDwKJv!7#%3eDUr zCBdQ%E9#}C_?^q0(SCyH_f`x(F;`If<*z|G~x@|IEkAl%M&QF^h{M*MSf)okNw zX*KA9Rd+ksbR>!BMBNtu&^0uk6^7qX#wG>DphY#=e(7X_KMLxgAS#_Uh!~10-9~bN z(wmkDbqcu-eFJ6MI<)5a9rCfeZcb>}>Wvit+?6AZvsB!Q{+{Fg>V{D~K2EK`+xh)h z^Qida$?T&x?-1qW4(?(>^1&kU;rXHw?|l^>4Sg|Qw4Z8R z@=s~r3$sOogS7(Bvsa}!wodQ867VMs<`Z#h=8fCkZxy(AMvJMw(a3iNHM#g{z?_#j zcy-O?z6(l$z7u%&Myk|-NH7q7Wt#T|$yoOV3p@89>gRVLCn5%k!Rx1(0D*&s4*!LF zTdt%W`A@5}si>gTeXA2{l}ZyTOT{hr44+HcfH!x-F`#qqSMhda~1+YC!Ve!SL?AMfnv zg@v+vHm7;ZFXY$nPoSZ%lXj<|=K~H_b*H=bSwDp4C-&HXnfxfihTb%?Y=i}g>y}64 zsSb~V6AjH87>t#nM<7@Dd2V(zUz)Le%sDrQ5KkQwLY3Uc%{tdsDH8{(KM3tvn|dR( z((UL+{o1N14xhX(C>37D))~;i33`oH?JWsbv=<7x+Ey-W5fqq;Q@74Xi05^C8HAV>a@O zJ^fOF1Pk9_^*xN8r8FU)vWG?^1rBQrD75GuwR5e+I)C8@h>2#`!GDuc|93X~pGpNr zb|$ueCDs4C#^8UursVTp^ahN770rDX)-lkr{#9D{=lGdO|BrO~KVANJYEGE`RC)cE ztb3;a_Y$*z6r(W`a4@hj{HLt;b51LF<>6%JN5H81H1%k`wRFlwvPou`^>{N4W{}|W z?=KpJ;6b?B`ma5Op}~V+q$UdLGKF?HpyC>3&4nOs5)Z8AZ1%))F|$HKlZtHSLOXs~ z%*h@dh-#Aq*zzoZ=e}b~4q2ObzjeK}t52*mn;lGKab8*>ib0ks@*93@^mP)S)~1ZR z5R!05AS7$_9d<1<(apppOoZJfW`FdyX;5w7wS!FG5)1cwv1+;Kc+$48!CToT7htaO z5*A+$(|bGlN~hRM4T(rs)o2_xKoZKq4Yq6tTsoFHTsJom}XMQ~k5fxhd+s4_*y4@eLNe#!4Xx)0`!%uut0_Z$SR)f3ps`-+g_q7Rq?lo z0Y2Pp@WwR`0&Pg`Xw+3!2@3{>n32L+0sIIb0&K7^xv)Y(m0Y-kfZ`;e4r(hATgm3Hd&H~ z5S}>uyjf&A7M{EXVip>aP->{!!hu~|(SROf{kc;M7i`)6?@N-(9uA7sGCOK}uH3qOMNFb)&L)RXQg0^j zSxkBso0T0r>dj1p`?oKhq$$o(q%o{>sureEEd$f5^2Tga4`-I{N6C}ewnMSQFb7i? zni}j&d30VL{jp|yJv(1z(aY6cZMuElc3ZH~Gv)>x;_i606bPy2WI_C+>SIULt;UnSQg!oWFu=|5vL;6l3|xwrQHmJi z$0=cl575PsP3*v|%E0aD7speYf-|)kI~Ern$a)J|kbS3;oq|p0=mTF#V%wy~mSrQ& z(Wr?&MFV0bO{yX+3aKqDWGml;N=_hl&5s_)cO=`EvLK+LBxg3_q^}VtvW_Xso z1JenJxzfhq#eLhGRM+#lO=kBCW55FL+!$ygg1JbV#4<4*t42vtEG$DA8ic;GX9juB zI38fmeks35J8iuorSb~Ilx}4?5^h!&yL-Zzn5*iXp7_r@3lrby8~CE7MkLvZ(YXc^Fo7nb~qK05KtV*W}_8g*pBNa)lk zr&fsSwpuNg@EB3HSXJ%n97tvP=BAn1-4P#m4KqoIu>|yJJYoX1aqc6V0wE@cqc8|+ zLT~wjvT=4E4Jq=A+p0uxG7*wskwRcnVXjg*S&WDhrKX4!rE?q#5o>vMk~2|3Qb1+8 ztF96gEpptp5|l^_HR*T%WfRknpWLk3*C&3oEG1{@#9@5?~YB zZ~*aUGNO@T{4fS)=2E^89MLx7B$ZQ~uc<-9qIBtje&FpIn(qt{x?~03aKg?|D*ZMp5x^Au5`B6)&w0?21*VtZUy~A{u5r;orfHkfNzRxPGyR|CP@SXZS}VHi_Bx{}g1wUn`FYUgBa*q($Poo%6w z!#m+>l+#3CG5u{^%n)BuFJ$EQ+gahPFaso52YH1=JXn!H1H_$v9w-?eVKAtma_Sg^ zA?|@_Xt$W^YhyVL;iknbvR|!&U#&gKinavdH%YdpnYBT~Z?UE@tA=bK@3*|JwAZ9UkHM(H_Be)K!VYymn--ByS6D#_ZO@UFr@BISRH(cM*M zoe%G4D4he(2SzK2!vTuRmcV6uqbIncaOAtiokDaNQ|m}~<6@#7=J~R%hFsx*i&vX*p(b zw=bO=(22B&T=sfh5p>~S#y;r2ua33g@x5NejN5h8bzSVfi32oUjQV2`t?Iz68-=dH zk!PZaf9$E=NeY-rs6cxHQSCm(XDTk&N@_fWp)^Sqr7Si`=Kp5yxOXahhL)LO-oPmM27K7Ubmmlb-)kNs zJ+e3v{2lP521UM`{3mmvt`F>2+?4MVxJvTxblpmJy|$Tyd#=acKcJ;WW2beCDjL?~ zmG|MVD+*ON<1f*CgBlH{JRghmtDlZtHH#=4rm0y>e>U8vtT08w$TW;eAyue7aMlXv zvC%0~CkcgU^m1fdmn}flnyU8N&vK(8@q;^hGgr>H_zV#qhSIOYCHc7^qwP_xzUKXejQ=h_M9_(#0+>-O{B_Cf4o8TW7_S8T_bs;_zoj? zGhcB>O;2f*JagYshKI?!4f6Bsemwm0V;G(Rz{P-YzJ1)f;TH*8sL4=HIe4|IJs{|- zQal)(c{j4Jq1qU92Z?Ch5O_j+-@-mmvdn^de(!S2HE@Q0d(MFCj_3;V4F-sGi|5Gf z!WR@AS)g>8ZU{#D;CvQ^qQvCx9eG- z(Gk!(z}XCaB&HrXwQNMQ5q8UM_pfRA4crHLx+TfN~gd#;PJfYc;4@O z-}SG5p0#K5+`I3+XD`;i?tR@%bM~F!&QFzrBgtK#`3JUxQ9tMC&f|GJByu{U#b8Fdj=7SR_&S*1+o*pzmV! zw_%tSJ`WB~9>81M4X7KbI?+bFzbL*)kt|Mk6{-GAwN;+h?78H}WB7@2X0iyQl8d`Y zuhI+huDRo>W@Ks{OTtqH$z=6b;Hhf-K%xD34PPBvRss|<{A^B7HgkL<>_2Dn@FCHc9qQH zOCHOLpXQ)`4}G9)UjKY%b#qg5hTM#Qv49%6->DeNAP_Y%&*~3O%TC4yU=a1_xbhzq zS*F#oJsghBTdPmbu{I=VuxgWZb;BsoEZY(!h8X`S%FPE^%;u6`PF1i&4HmXs{eq|JiBK` zS8d|iKtcG+aNm5L)|mHZAIwSwTkY;}e)q@#JVg=HTMNLaNKnQ@(_lwM0a)xtV&N8$ zzu9fcQ{Lz8;D8u7?*n|_vKuzqqmvMLx+yUs0p})}dtvP&!>y{JsW;M)ljT{`=nvmY_G1W> zY0jS7;P9j0Vz==PI1Ub&s7b8sH?Vsa-$%=zw?6fW^XTpJ+akNf{7D%oDb(Ss)1A@# zv6+%(T+x269%|L4jFtJRMyf1mTp_iJ z-+fx0H~f}w&*!$SVoy>#$}THur7M-Az#kv)3#azUk@MBK09G98k_`F5ysc<=54BjG z&=yw!OMdF?A>;L_HCsnR(|#dCk*p8(Q|mH3aNL{U<0^W)PsZ3<33~C+JR^$Ebxm96 z9zlJC!f1F2DqLrAjtoE}JSO`z(C80hx@!@X>`%n>_=}9iLZC}T+bh-`nBN>%@>B~~ zJTZ0Lw!igLEd4vxC`~PmGRrU68)*nbP`}!qejJj;hd99g~hw-dEq)lmy^R3;dtc8ZaF{P~sxlF$p{_wGA-ek@dmp>EU- zzB@$^a30^M)g-@+Zy;}vUNNQYT3;G2Fl0lewF{5egtV(0fC%=QZ?lWs<3eTk6?Zg@ zKHXspa0NGnE()@@%99Mnv7#*N8z#z?bf+#v1lu;@6I@|KH8ChRYtS7sg1j;EMv-H9 zcG+%ke!8=JQTv_y0*7rMm4HCs+&CQ-`V7leV~Ivn8niKSv0a7vGX6#<^^ySLA8)H>I=f2mlgOu$FzA@oRp9L)(#5^ ztMdv|#l>&;V~W;fy>Y&+j=IX_$S!@p`W!Vfn*}>z zBO~Fi`LV(&&y#oWN5(|f?CYp{$rptmqVVgMvB048-rb1!IEUlAnGS;0M+Y2jQLe8! z_A+ASo4q=W_WN+NkPZy$27D%))KuUs+XP2gXnwxSdiKq3E#=KV`d2M4#nFx_8qODA zP%V7mIPouBR+ns2$U4MIEOjE1y9z-v7Xr6SH%I!krYVN;VJC;p+86lZPb}UkkLu%D zcYr#Ac_x#32Y@;D=~J!XEM`Rx4ZG%w2|M+fdxK_$iv?zTQbi7I_u<^{3X|})BS`wz zdYPNkQdwx|Cz5eOrq_Mb&(xIFM4!qdKlxUh_VG2^lQlQx4r5V#K{7%mVPOpcXNRJb zq*<;d!iWg|PV?@h<^3G};behB$GHy2t8@xsm>B<&wS-mtmsEq5-AjAP2Uua_5r^US zZSiO=thZ9g&%bI~hiT;Z@)o{+*%o3-bsNY+xR?th2ch7vOxiKmINOacQ_BVOKlo;; z+3@}H{Ob}LwSK_)SJueUSCKLH55C)C?6*F{z#A!Ew3(^4anxVODCagbRdAKk+{l}k zMD^ep7H7&R#=t@*(iw-6gC?B<2X1+rM%(msH?jgrgo9kZY)$e<@03w^+$pBrswxb{ z-Kn$r!nalJvnt{GYC3u8()MAyrOQ8$Wp9o)ua7$s=cL>mpg);@IQ~i~_6O4z$4^R} zn-kUlK-i`m)d=mNy4&rV()QVz*FVzAHkc;4NG<@D3!$XC9Zkdi0-a>6g_D+BX&zXZzNMVxO-17Fq@eFUcA{i2%Zrfw6M7rNE}eDo@*WEvg)B3C zlX`Pu>h;OkR`4@uF~6aF&r z6iUu$YN7i=_&f?`93AN;(*0Qb9a(14mLci)WjxtVO;nAmaa2PgamQ1uM0diIiBr}U zqDe!Kg?9%Tp9wV=KK5hyH#+~t&V$e-2k1|B8IE6EKL6ryyFR#?NI{c)4 zL5LI$Z4d-+3Zh~XQsOM?rVb{CP{ThHB@JyL*6vh~{*W{MOt3aIN3f}J{F&nCAb=b22k)7Tlc6=lSQKh*ZAt~WDJJS@e8V9J0C3;DUw7vT*!^Lhl+k0C8S31pT|`I_0n7-{txL zBLe~cuX;d$Yi1(=6&J^K%n9Z~^orx>lp}cZY@b0P*W`;-H$VTs&Iy7T@Sm{Ae`bRE z1&aVVxVSm~j*EDBdZ~>!ezz^GJnmls_Rzf)cwlg3VlHNIkD!e|%w#Vf9hthpT)Cf) zx&hdVoc9n=D%&aQ&wMz-oc3@SGggpb)`>s<8)%^%geN>I^FUB%4Uov|tHmLf^?$*(9v9+z%(R$_OZJI*j zvS1eKkF}$qyNLV9BfZXZlR1ipW3A1&^Ho(Dg(x=9UI?QIdF%EaCdX>n1h%B!E_T+N zoS)KgKRyng#Yyk?3@TsRF|7#^Gs&v#BC-YaPL=;~FN=(!43Em|INevmkge?PrYHWCH+pl4ZK}&gNy2mQac1;dT!_gZFQQ0w@OG>W3U!T1 zbxkG1__Z!=6crs6r4*H3zacPJ_jyf8K_;1?zcntQIg?>uxTtk>-^kV+b`@zh-|Bhd z0((pOneilNwhK!~3a)z>xKC3hS1ho~FE~@`bP*?4{KIPl(hWgAJE+at@*ZEGkYa6s zitfBaFnL(?mJ%w;O$IjPl$Gsgo0uvYzF?Y-;&QiiY)wWP4&?Bv27ek59yr;6V^&?( zWmUX&Z`9l4OD&zDpp|lvlAQ%@f2hQXA*LdD-Z#e7JpKIZK#FP#cNTejx1Z;o5x?C| zkN2HHwQJK=HeqaKkmWj+-YRD8JBhBGDNE}w<=MYk@Y zC?jHnE=HNwyQNG;=>;ECTsEE1ZD?j;aC?bt7T*~Lg`w4y7qb+MkKI(SqCs#($zAQu ziRm(h9qveX!6W>vjgJ?v%Pa^dI*yqV)yMLK%Xcb*v^RiN!QNs}%rTH@!#$aT_aB^s z5VrGC^>3ic3o>8{E0s-^*zPj|#(T{<>-QzU=5Ewi+<(}eo2t*S`AJYysnZEOkWFN9 z6+CYWwl3IVl%%&Lz!f=r;N8{u!_?59QZGStz==^X%%b5*&KIoME{2joI4pB=O8=AV z;&WP?G%|aTklz=<0M2g!vc9f8fs{at)e#GA^f?#AiO2aDUMmyoi6K2a&+(9#n;nrI z!yVsC1G<&*9)8Ov9`0H%xC62fB@BU@W{wuBr__@O>PX^_+IvvIyk6A!i29ftM+&X* z?51=qcYF?xSPBi-;d-|=dT%o={D8s(Ipd7x;_YQ`(;8EWKz2jsd~S-&Y&e|gDXUj1 z($;CNCz&LZq2cvn%0eGG}Er?FU+lI7zCq29@2;SS{)^jyhKaL$lH6{7)Tt zzP`Wsa;)Ov4-;?B5;jH-s$JS=u4uw3hhpIr)WeasZ+X6K?9el$EMqra_LrvjqA6Z; zZg^R|_&m~O@AD7VOEjQPMexIs& zV9spjB{T*klLcCiZ)1XxHe^A>5b9B*D&!CTm1Qx~f(3EjadT}@Q`a)e_zl>B4f{FJ zlXQc`1$l#K8~L2#oc27-_@3j-sqLiV5aiMQ$jyR${3@03?v z>K_#E&yHu+sk=P6>EI%1u`Ni1pWl(tk&*uLO4q66$&W`Yglv_vk8}9#zI|J#+#5Rm z9`oM%_LX1EqXqM!9UPTz*0rXy01maa>U+6zOm0KpVms{4)m7VKU%&kA! zs+mt-0XyBvKLf!iF)u=jmuDX3hG{fsKMOjK7~jJG4)J0kqn%fC8R-^V_c1nyH^RAL zJ!Z^Levk_Jf9-zl`8qsv%v#X7j50D+un*R^+yVc*3}bdGZ08*EG(C>By~&6ENJ-JY zDxp`4)TK}0WWsl!CXU#M-C8o=r~C4X+2um=i1-X=tfcJhgG5vU|Jz$G*?^-38|gj^ zrW&*jXdm?5#>#NYWAIywOA`6SS|J~Q(6qj0C%kj58 z(F}?Ygo_-kpPZVSX3YaL!o8Xdr9$Mg*JHrIkkx?8T89L~ zZ%aj&i?vd**h-0&CCkE+v<&i*#NEUE?W%&YS-?6EOo3N!q`SzyLF%{)amo&7}aoYu92;uGmn zHv3pyr49v`gq(C$)asM^L&^E@oV{j46?YfiovP4EMSP5v;!!%X;Mr^v&oxx`i8^{5 zf91edLF^@IB+@1%YU`xp_pD9ygj{eUuG}8jMd!xV#7gZIsAfKXQ?pkrtIs&4h z&AekUQS&u<$9GmquGB^H9+^WePJjv!b_%ld0i;agYc$g+h{ViI>~2<=>4S)8lCrwI z%ek*+sr$(Cy5Czxv7>)3PQBdEdJ`)e-1+(Bm5KV`8!Q6svwipWE$*(cD1r{{Kz#p* zF?pDn)Z=_U0;8FGdCXEfb5L-@%reXp#rR+lo54L?I~lka=P8bgE2nVf zJ}~UBI_9b8_})#kTL9MkW7##=kfmv-$7y8^SzVpv@o}?qHRECU{$W?G+$(LWdk@2G zKEINy$%O5p%o)6of+gUzOF22Vhvs#!ndVwv9#ezTI@Wq9h7o9Q>%taGDJ_NDX8D zp7rCC+=<+*Tm(9A0pWfZiX2VUCpjlA&W9`+v?I*2 zuI%WO)ZHIIdF6$U8L%qn%QuULXi-(pqJS=vVywx4^e4k8xK z!_%nY;+C)R6tOvCdKhK*%xQc!>3m{*@_a~(*MfowJE_2TWnxls<2Sj>bC`lYP_m# z{P@h^Tb2!PORR4%J^4gw-r+kHp&2Vxv(b<8f+cr}?%9dSztDi%Xh~~tIJkVozf=l` zKaq4;;ADy5%}!Z|Q=y@z29++Wx~!s9iQd6c8#3)dd39<%s8C%x4Jp8OXOFLV&{(LA z99<(jxWZh%bN^nOCS2n|qYbM=xbaB!2426P2v!x}9JSh`p_m|nwHa+?d8Ve}H}-|c zZ04OzNzeKV0riD57Y+XTq)k2KLiX^DsjciB8h4Z=1~Wf?te@VNBl7LgNm#QF zY3y#V0_ira6oVW{*L7DV3%%dv*DBAVA|x9gZ9K1TBDkzO zpQU(hW$oCS-6C|FPhT=Fh?mxhb5?hrug_m}x&RwkiDlZG^f;YqIGts`$}rHjhoSTA zPL8lK2A*Qmen@@fLlfhsz|4x5y2l7XuI#`GC1xN=Xd;XA!P_>_A{Tp>Dm zNkOZ83Ik}R)6=c}9X&nr3-M*W1l%8%kbU`y`t~S zp`buOb@vPTCv7&1!MLa+^Gs9#=JZ`@&f&i37IPCE06`MP^`qW>s^#|O*2FD^TZX-g zcL1=Kb?5uOXMh%?&7pYEx-(2GHuW&>^(N&&*ogIzMU^qv{&}7i^M$|2)YR1Tsv$n! ztuF<}ePd!PW_T>)lc=O`rlk()O$!;4DyqLT7 zvJO|or=>!14JW|?=_HdWmD8fR`)&P;p*Vaql3LftBpqxb+-W4k7|I**nql6qr3I95 zlrPBS$d8OLMvY42g@c`5P~v65&f)LbdIvg-Qj<*`Kk>Tpp^gte+W-yG#++Z%6AK zk@C-U4)cvCxMc<>-zy(V=`9$_d}}^st`?D?+0QfWPZl7fNwb!JnO#VfCxRVaCN#ZW-)@?e3^UkyHRQ;6VE!NWI5%-OibSqYs4x(9xHgvncUwS zAeWKbjTEgK!4<5y*Fv@V&Lr>HCu2fT##L+uII~+4IfY}q0Dnto10X@Qslf)#U&SeT ze!cPsZdtkeXeItYaBrYZ-!?R!>54hs8-E(g=IOKJ*}ZIMH6tstyLZ?$uV?#sY^%~j zZbn$STE;n4k20&O<&{Cjz=9s7)owNNLF}3GLQ_gzm)vySh1hdv!K<{ghgw?6)>itT zg_U_^4~3@GGpzGfsw#(?hphAM^;oT}F(qx($nxs>WX|2RnPZ+e?YQ)Pq7Q1OAK4?(o}Kb2IP*u8bfZ1P2`WF(-cyAc zJiG8$($LUwjgue)xxK9CSxIbzKGr+_A(aSK*@R{QE-5M zz%vG?v3*Qsv{d6=#?Wp*)4Otw4-@%<1TtVK zg9^O#7@NaRJCUyP^xc*wZeYR8amqf(MwbeSRgGF!g2<}yOGLog{N&z-S^}lGu!G1-*(kT;p%GFzPv1_w2i=)K zvBLa-eQX?1??tkD%c+I8#p{La719ZIi`0we+k|x}Cl6aPUI;Pao2EaGY|hi`qFRaJ zhcxAG=f^99!8p@p0y69DGNUcqbcf?=Yrb81-B}YR%Xx!e(0B4*9N+Rm{=qMDKPG*& zz)Rc#JD@JNuWy+3&KRpoTy>&*l%c~nCs+4II#D)_e#Ur@sjU2X%}LbFO`3i-ya(?_ z*|e;EGuJ5}eXO{`Wwar`@wM`I-(WY8+8#FGB6>wQeER zzla3x;)Yt|GbAAe%b~Hsz0_=~LuJH>+-4`k`b2~<3q@gs_5%&=8$F#El*qR}^0<6_ zX~yfV+x^^XR`skEg~|7xH!hBM?YGWMjdH4wsHtcg2a(5)t(WAfo?>6Ly=$M8jVvXE zJpplL49gy-HGW5VbDl%dvGTRr@I7VyvRWSbxTh1#vu4)_W1h7)2rlO*xe;h>=kcoY zF_v4z-7e7Ce}j(yx({}Zj&uCWAmP{jvHubsmwBqJDxt`t2C*@9R5W!}wY4#XDnC_F z`xEf}g_Gapph9G!y24NQFa5)fk(}};r z<(xke^#2MjzeZyJ3eDblkNJOu%l~gJ{jb3JAL#z?xcR@F)BJ+T|4ZEb|3a*}5qS2E zC%V7k(?31t{L4|%^$oqh>)_h|o#Tz7|kr+Y>e$k;~e0d+mY-y-G$gf zC9Yi+K9b<$0B~>uzyMw#!a9JHlNG?j2mmnsRY(8SvbZ6_PQ=#yM$b1I|HH@Nzh=hG z!PE>BVQRpEiRk$sA1V+xH^LyojOwS1gO`UFF+0~ED(D|F#0WtM`-0zO9Gw4@0f2~K z#P9il2xFSxWZYoHqWQZF2truC{4N9YBG&QW^Ko$UAeP79Wk4?QKl%*h0{vc=1HjFR z*wKC~3kLpU96(<1Kl;MKfiTbctt?_s{Cyl8yxiAzTz_i=$i>O?dm9KD_doM-1AlJ= z2u4iE@AZMffZxZ9ST6{Bp})6_kn#LJ4upXa*FVbg@PhwQmIwTgdE?;a_=m0#GQ__7 zTf4lxT>sDu82HzGP7a0$gCmC