From b1b1dbd787dc14aa2759b6656684041f9041ed54 Mon Sep 17 00:00:00 2001 From: Ethan Sifferman Date: Mon, 18 Sep 2023 21:29:41 -0700 Subject: [PATCH] chapter 4 --- code/always_ff.svh | 20 ++++++++++++++ figures/always_ff.tex | 7 +++++ figures/asicworld.pdf | Bin 0 -> 179210 bytes figures/asicworld.tex | 7 +++++ figures/chipdev_hack.pdf | Bin 0 -> 98087 bytes figures/chipdev_hack.tex | 7 +++++ figures/chipdev_questions.pdf | Bin 0 -> 92444 bytes figures/chipdev_questions.tex | 7 +++++ tex/chapters/1_introduction.tex | 4 +-- tex/chapters/2_open_source_tools.tex | 2 +- tex/chapters/4_resources.tex | 14 +++++++--- tex/chapters/5_scalability.tex | 2 +- tex/chapters/6_autograders.tex | 1 + tex/thesis.bib | 38 +++++++++++++++++++++++++++ tex/thesis.tex | 13 ++++----- 15 files changed, 109 insertions(+), 13 deletions(-) create mode 100644 code/always_ff.svh create mode 100644 figures/always_ff.tex create mode 100644 figures/asicworld.pdf create mode 100644 figures/asicworld.tex create mode 100644 figures/chipdev_hack.pdf create mode 100644 figures/chipdev_hack.tex create mode 100644 figures/chipdev_questions.pdf create mode 100644 figures/chipdev_questions.tex diff --git a/code/always_ff.svh b/code/always_ff.svh new file mode 100644 index 0000000..d7231ba --- /dev/null +++ b/code/always_ff.svh @@ -0,0 +1,20 @@ + + // not cumulative + always_ff @(posedge clk) begin + data1_q <= data1_q + 1; + data1_q <= data1_q + 1; + data1_q <= data1_q + 1; + data1_q <= data1_q + 1; + end + + // doesn't warn that there is no default case + always_ff @(posedge clk) begin + if (rst) + data2_q <= '0; + end + + // unclear whether this is a DFF or DFFE + always_ff @(posedge clk) begin + if ( pkg::func(data3_i) ) + data3_q <= data3_d; + end diff --git a/figures/always_ff.tex b/figures/always_ff.tex new file mode 100644 index 0000000..d91a563 --- /dev/null +++ b/figures/always_ff.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \inputminted[frame=single]{systemverilog}{code/always_ff.svh} + \caption{Potentially confusing behaviors of \mintinline{systemverilog}{always_ff} blocks} + \label{fig:always_ff} +\end{figure} diff --git a/figures/asicworld.pdf b/figures/asicworld.pdf new file mode 100644 index 0000000000000000000000000000000000000000..908e17351c2a809ba70490e6aa498baf5d44d015 GIT binary patch literal 179210 zcmdqJ1$0|WmNjf3VnHghdW@ct)W@d~brr3^|VvreQikX>WmYMC(ue)cad*;pb z^!vVE|G)Z5T1&dBI=6OJZPhuujxM>Ps5m_{0~;Lq%< zGYvCi87o&tc2-UXR*v^7GLoutvUEhYRyJlt5@seg4(|m;ES(+f%ov%OIT+rpyjxZ= zGB$VZe{EG4xLfl z_8p_BnTdnxyH!~;dyC)9a&vGC2oSlrzK@R`oM-OoXa0D)7Sy32Ks1^HMR%YAkOf>m zmx{34@$1hK8H{_FWYGNXD3Z^;oVgy8M<#ra#oY(SUn&*QF5PX$Is#urJ2 z7i|CMUZRnPpZTM%rLk4T??=;0!0QV4d_W$x!&`E@!gZ`Ua+mJxH3?V62{YjLLE8Z#ftHduAp(7w`!$Y?+*3}rF zOupo=BJJ9PKHKO7h`s62#iQs4^SQAHfma;#tVI9Tc-eiH#BFG%s|XENE#GeOTi3f3F#|$CuIH z2F2)1vL%@jIyk z8DJ%8Hp$N=ANb70v5*W>wsS9`bVKp8~kO@k-+Z$l;=uPP~&hKx`cPK1#L z+Xq+)fZjL|moroya-c8|Y8t~9F4<2llb>wfa=>1|CcWtua7AY3mLmj)0kxjiqvwj z*drL)4^i!g%+TQ^ZQFXxkM(lJDKz8Bcw`Zf%OuiumgdJDtUQP*YjHF_6gm`waqKbE z;3KDcPILLbVr@27|J4I z5MfnnEy4rBSf@dU#{3!Q3!JC8V&_sGr3qtxWErVvG+-!b$k&<+;x*dS5swI?CL~jg zgft-P7t25rk!G;*qW*J+Qi0CZ(&!wz>5d89BqkvSS7i*vl8w6KefH1boPpyK1I=^V zoJBy3RnhX|yk4zZFZsn9n=ujFg*qa9_23D>{{h&~wPwM*^Z~j;X%t50-q+osJz;0y z>Vv0YxQQ&ELGXG%XU*>T(gy>EqFVKCJ|kS8kl{EYlW$Td8%*Sr>lq^~s08zqFdiJgCBvA_}K+TzO5uP`FzDq+S|bs4>ech1bz_ zcN6%9ka--d4{krP&WFV^Op=^adJcAL{5?raWBAyNAXHJsc0ynCRU6AQGpylp7}Qf_ z>x3M~I0fQ9I2mg{cz0`|gSuFDlXE>9zz^FZGPw72Z0cY_YJbkWYb+2_3Zr~FqTfv~ z5*}EY*bQ472>7b>El=P;Z!v~-Ywn=kkRByA7*Ksl=iI)bAgj}y0PYZI;0-B6J67NG zM#KjmVa&L0U~KB4ehW9l*(~zi27}c=e;e1M*@yAaukq5oMhcdPK!Ne7H$u)2zn#-y zS$8WXZPM}us;)kd8#^T9JiWV*V1HX9=er^H;WO9m(P1-!j~89UfdVhN&j*uH;0Sw} z3`;$$&((wiaLDIbOf&T>SN6p2mT^GMVU54_ZSwgi4-o4w7Hob!`W4y;>o(sd5I1+0 zfD(z1SyN2H{dd{-YgvHP^^D#dHul~0u4>fQ(GEE0K1~!ZrYoAyA6e>EJ^@kL$fpt-NE-nneWA5VaO&<0n}s` z*WNWBSUc}nqJ6kIeGauU-CkqRPRSxpCfE0Cx>z@m_Cp2EF?)K^wW}1CQce(O+j(*V z`FVYEnmir9r@z&HSz5_e0D5AuWJP%v^7-@6U|*@@&hYHy!~uN*N@1@y*)Mt?WLZaA zmsY-gl!yq9gR#6Z_BD5$H~`8B^(?<}6_prk-N;TJQ-N#-I9-h+KxvQ2Am@3FFyo^ zzUjD?J!vZ|JKGZ98dJ{?JUnYT|N7{uauXc3tE~r=?m(4o=kb*A6#s6K?~@X;FJNw7 z_oe(#4h%{*81SCehE6bd@X_(DFxp5GC%VK4yVX09sVk9FJz@+$*u(O=SC{kP+n>sl zjSR07Q>tv~L|M_zoC3FAE3FB;PB2_^I5=kxpciEary$8Q8;6cTypKx%>_f4futQ%4 zf67{{lD$`-uGl>;u+XYn*SF3hGIc<9M@gf=?y&3rvop2Q$Y|feyx%}fXaBI+ySDf=o6<$T^5cTHFGW1E3cD^pgqw!&(`EK*juJhtPPhIg zEW#aDe8widdx39Zf{>Xfc_-xm2J?1y!17?0Uk69E?yH1@9^w8K{g8YBoNPAMk)iZ3{8Q7yH$hoJ%0;FN)IIEk>tI{{~BVoBAbZ2mi$QXp~415>sqB?8!GwMCbz-U z6GBZO#XLJV80BetP3{R$WS`ui&7;;g&>MMhq%=Qd_N-B*KFpZgVdLCupZFV1~gs>ZrW_=*{%s;Tm#+-71#`jVYF!Jk1Uk?n% zv1F^Rg=PL4S326@k+lZD#ox0_&t8ht+d*9x+8pGiuz7-cTjptE-@9JQ1FV1Au3I>! ze>BLc7^3O$My>s%=@L6 z4Vb<2w1)F$_Bp(mz2$f*XEe$tq3~&H4UX_b^ildKjJD`WlBI8nFqri2D#RvhyKeQu z-FWu;K`JUYXlUe$yW=%e_}q;5ayR7CJkm6r$>afCvnfu!Z+rcIh_ss99kIiE6L_+& zlm+CLu0!|;Ryr&V@xDyR@%*yy5qLm0t69n)cjEup&ut8bv?kS%k#U0C#_Z!1Dj2n% zwNADM)_#}58jTOK+UMQ@ttF>y!B*G1?|DZIA=Lv z+)vBu&iZatmpJ}Hczj%|@$c*zcpNxT`^Y}OC-Kg6=v>!0h(Ddhz9Iuu%QONC2A#cG$H7Y=Pvd+ zomF;aBgj$Cq8!A`C=#_60hHbw#@X4<$;ZMtVL0%1`hg zJ%?Cz=)UUd_!)S1u^c~{hn@SI=Z`Js0(N=hz|Fv)!OD2zX_568bEQ6yH`^WUNbHuK zdJVjSTkBe zI-dNdNc5p=DzUlY;sMpK%Fo|00xV`$N(W79u(qK0uUvxxOvY|lPI}HcZD`&=TCt-^M=Y}PI4?e-UfR`d+#`qw$&gV8B%f{#eJty+X%X{knwY# z$mmQM)h#S)YGBdo~KPk)j zX&BZ^Dc;PyPVYYttdCxckA}WN@d#9pN9Afz z3+{xo*r9{EjrC2C*u@OQY^^m2&1|LpO^2~138pkfG4`B5hAO4rAR|TxL;cS3=w>yW zFf+dh5caduM!dk;W^)PdT+~rku1_=dWU;AnW<>OmK?y>9=EdWIu;=$#fmk%cxT9FG z8(2?HMxzkPLx@`k8F0zC&d!``dq2s4)%<5!h**K`Q_5%r_Kr7T0($H(Qi*Y%Wl;tn z_yZz-xBwhLp%=yeH#5l=8JU#cdN{v`U)ed0T*5tZ&%r(?WVNh8cc@jUmZSNB_sV1( z=9n$ggAUb1XEiLw97lg)35<3VFkjcNc>_hTZ`k@xD*TJ)_7~BR^B>d$nVH{3z`y8$ ztnB}-9;hN`nn+4u#kA-=5ef#t8 z^KK0-=d94*?|}L7h;%?J2ZN0RgJ~Av{AURgotA0ZtI?uPnSCYnBgz))uj=NtwJnzI z4lk$5qa}lbk1hu*E7jSFr;28G33aCwUysAbbXt4}{cg@DKKKpM2uewEVPa^u9W6i? zH+3Ivu8}}MVI11wBM4g|W3l=c!Q7jb-|(O=DlJQ3FLLQa#7QJ@eCA(4;Fh0`gl=xm zs>Mc{)cX#wG8tH1YVSVDKTlOhPFR?xXT#EKL@LM^u6b|}alNj~#*0h;@+GBgl1prV zK!!e%ni;eCEYXoFQ;<<^B(H0D-s8|~z9mzNR-_Y_E;FM@d&vBUvTz#~J=>8*Er&|C zMj* zvuHn^41%v*`&GB(sXED4Sl#|LztX1I@tmHca74DNSUaFAHj6-~^t;&iHmL9N2z7&@ zPBxUW@Ek3{hN(5Ndh~j+XiNH)OPbcfW(C>f-g%UQs_3dUvR}=A88q8~=opfo*Q}*{ zo{R3wT;ZrC-GX65D;B~jpk*xrC1;zTYx?D~U#?Q7UDGJM6T;X@!kPE&tWf(S-%olW zbw%k~Kc5n#G3NrwKA)&y2hepT1#ao9&?sX2lzI8jmjb@Ma~BmW5yTBnT2NNO`2bCZAk!|GHt-ihS+O1_h2@!}v4F^9zUkTy> zKxFd?tl0=Q{cdjD0(5>NMJVuBlntrs>RwcPu*mk(<8v6?%Ysf@E`8^t0p({?S7T32 zOY7TBtNe)H;Uw8s-R!r8us^oW8CmGx8>&mZf)QA0Xto<#+Kw1B<-@c*j#3NNKjvzXl%1&F!;@O6ho^Sy$K}DF{xWhhQT3=!XaG=8YRkj&yj?;?%8ykDemj zYw>b9yuO6g8g_dBU}wf#td&lAolf|zJ8!qfd0>`0NE9Ddx00?J^u3R|aqzrk<=9z7 z*Wm|c!}3y?QaWrkVOLBE!Yc<1M;yb2*!wv!S_d%v4aAF?3Y1!qjT#qdQsVu_!qgbv$QI zE^EJ(g)~Co#cl~C94;KNSfs|7a=WokVFCI6^#_iy@Wsi;!OeWYj$v(5 zni_)JPjU__+<0*Klrh{7Ij|AFJXLVef#9rZ!(&h-x9a-t1@XVkb3Bp2WU(;LTK(QY zEo_yrp6ehfTBZAvXEB~>SV+QhMVM)*+vKAxn7L?nW1x0%ueKCf0+o6C$l4Q6cY+EF zFOtI~seyq6arecFL5y0HAXaDzFyBeOSqrSLhAJ~l2}LE(_w8_wA($ki<1>xG&w22} zs_ZG9-b+mN+`+-+NOJX)A}C517r4~!iWMAoOi`MDqi1#Dgqro^cKK8qxU-FpH<<4B zWj7l<&ve>!&KeA%7JEd`7TrRGbc=#4Mxp)~_e{86g01#~>r56Xk{dlbfji9Rq@fC&C%#ZQW+7Isiv*0^*K#mXngl!QxX<2G$|JgfhU7r~j(&E+Fzv{(!Q; z+$v5C|C4G7Of`2%c6p40LW>?D67(6xwV%B`TvIz&IFh093FvQ~9XPGznqHQ-1s+UM%W?u=G!)xcd>Pd47T*Z1uua1BAsF+kQ+@Kt6sp%%Z|yUOZ{4#m6^H9=)t54-1Sg!r2TZjSp3Jf&?PUN9j(su61)@ z9CneY7-Wy!nP3^n_ma5<44C1nkx*uu%s1$j+$)U7M%XGx#^3^r<4G5q@{1s%6;YDh8;_!# zD|EM@6FTofyy9J?*T{g+vPE>P=#TK~7h)rF+^gQ}9JusjPVmEI24G~Ex?Jhh(Dje+XXwUf&g`JScxNX>vV9AikpyuS!rch7wT!>WB|x&0ub zV`^pw*k$IB2~tFeOg{DL==?14Bg-<<;K$xqB?kPF`i6{H2ue#u53aOl7q=Q}%Njctejo|tR}eVp39G{sVME@h_<&DHzBlx zB18%TXTY0-DMlwvgc=lFir@Y>UjN*ULGd@A> z)N^Y(xC&q*WRjeKO1FYizu})ipN@Ui?W&Hvl)hG?*bJqGy?{62oBnc_+b-wr)lV!T zAjrc*K|#Y~zF2GYGc#R%qU648h9t_;g6NKGc=yQ2A#8$AV8vs~qS`oF75WJc7ZbZZ zAFO8!#la~VTAHIIbVc30TFE~oaFCvvdpG~0W^4_`+?3`)%xX-A0IDxGiIh*$vcKuM z4PuuPIiQQ|K|ht9?379cXSN6&z&~mMFA(N9hzYt9M7Tuixm24hDjZfqzB6*Gq)e^& zsVJsLD0zth8#(K!jM;J(Ev0`85qltL?~dz-pQtI>`sl}{4Mq{a(7;3s|4g#5dYlRQ zMv~Fx)Kz4!*_L_0Jk)#(Wx(s1TlZC7%9mJBz z0B>SOp;#kcQ+L;CDutv^2%yndogG$<7O$)GH$ak1XQ(ep#R>LUsMZ5#86ji~uTmg; zUR&kEwNFsskMsUCTbdd)O$8o9?7dERQl&#_#ONN13t%E53W`CXbhHoqnVFd>bc~Sl zENo(Fx%(q+E7#LvLVuWVtW?f2PaPIX8(0K;&P9#Fj>U49N*q`>iL&gCzzP)My1u;J zCTXx%lXP`$yke^mv_#PRfYoNsZ_=ven6b;p*cJGeAGqB+NKIR*9u^)JCQ`~@{R-|; z-JSklyKFBcz!7*p&Vl~jt1wjwY(1v1O#;Hcia3|`Ck94Im!7SDNW4pZ~y16XZd4Y&(6vG*L}a1!EA#e zj1hPj0AWkUJ^cXw?FF18h{zb7jz2VTd}*x&t@3zUM+-vv0UILcS|d`84&nnmeAj5p zoxQ!ehr%ry8WurcP7ABU`rUetSxZI5!?Q0-*5|xVL2g`R*+4MF?uv@^8ISy*=lm7C zXg>!X4vbkk{ayG~xxJlWiE4>#y!@Yc4jW#b+gCiU(_6vjOEF#EM(+GConGOk=ncAF zdMsqQ8C1B=t_B=5&YZ8+xB4ZD7}GJCg8+cKm&b#RSuY+t`0m|RcItW$$D4bgtn%gL zluwbEf<_UfrIIMh*Nk;V!>H(@T3Mbr+f>!|@lAbtPeU^aj^=PgYBR)X+nSYzI#uJ; ziBr@Lr{%A|DEfz`=+eJZgMP16)x@{5jjdqrMcgr$Gchavx;pnuE-Id!qfqn~?!)Qp z(d#7}p1$&hn;EiYR5-JnRp?sQ1`hi4m_mywt%R_<`~@o_G` zE^#$=!mEK*&Ea$acd7Kutc_VoUn5xD~yG931exx4NsGl^= zm7K>?SmVw&Bd9kpm21TLp%}k<&a!;MveKex$%51me2k;i<4YjLQ>HCf(xG{u78K3zs<;h zgra|Uy8khXvU2|Q1UE4(V7OOc7gFmB98{XARbqk@2ekunbKy^uA*%obk!zW>`W+~L zm9qH%p1Ev)khy;=KCWf(%YX}`gr5gMK%k;Q^4i$&()!dz#X;D8s#qj9CRQ(Ryn~L! zdIfnC@)qu)h)(+)cD@I@vwPb~37rS&7y(95e=i_bh0dg@OI z95JP5uJ1F?8){X>|Q@d|m6i@cvvYt{gF-p#F76eh~;!$-2N zPteFQGAlV*o6^mlFWztWFBcCxauHbO6`k(X-%9t&iRWbLbu5=Xs;0JA2iA+Unf4r0j+&!kv?{B`Q=? z5=CjGkCour{gW>&qr@wmT)Er?66a?ql$)9msN;t3grt)~nV!R;g5_YGVALUiqVbpr z9+M0Bx2jWgCUz4=H>ncGkj2@Hr;szBuBQm$*-Ii&%np5y&deZul!G$LQPfRjPpN|e zs+z{rx2oox>84-ER_1Q_tH{nLS-h=3TJ)n45GF4j%<{n-ol+~a7nVJCXhwSsisSOV_CC#}FEYo-HZW3r+2kBEdAj z8Z)`9r%=v~W7FR_3GgR1Sl#5|!?Ph6-{?0hno{f29}FX<`W5;BA9*1D@;h_;x9RsU zLR0qt*HHeHGyE6d=C_RGKd?!_#`!l1#A_LK(!bFZxl!4+f8tYZeSIBs7!ej0wQXo> zdR=Wj>=&}bA{rQw8JwpUMh66%n0OR*Z~ZX)iGY{ZuRL9zh?*977KHHBl40zH zW3vhyE{&~ontCfpRSqW>_G22Sz_7B^z0>>M)6HLJWpVU5Au?(_C~9D`h!CEvb3+EcHyuh^=;t>O7?~N(6>z%8(UYY?njT&V`A&MU8r)( zO|oVM&YOpE7DTISbD9vR5ms!7kZxss69N(IsM6vo3|Z}mi*M3Wq)x=6b5r}5dsdA* zQbn=!3#t6kqVBYm(o_vKas8DdyYS|?%Y>)%I~M~>E z$8n7J7XSf|vMoAo#ykwQ)z#-OF+6vY=0r?gAkWBNrN6_je>9K%|Hq5qKe`3?ccJ_> zyZ+!790wEYU$5?K8N>?sN+^MM5h$`L;B;+m^;k=WUx|q`;n_DqglT9LH)&CBUO+Qc zH;{>lA%nUTiI`s8yG-oQ=;?HXB{{Ne_s1u4vK;nL*X`8Q%E}I&o+NfIdgkZPx*@23 zf_$r}(D#3edOcGEBn5J;^HwtF94~xc>G^RB(ao51V>+eazv}nw%Fp-E;RL%D(PV;5 zzlozUU*r8_pysKIGa*F~nd-%(DXh4dqu__m@Z}GOp=G(YMsF=mLySas&=^-Pk0CN7 z3)nEQ$Xn&m-bGd!EOWeKeo{po%dIFd&oQwgg@~%|NWu2iZTCBp-;x=|RM&mwbkVe$ky8&8ea!DV ztY8=0Wcu;tTEqIjIgDfFt0TKWj1)Vmo`|2>Y1uK?%eL`njbBSen>7#R^jDh$nY3kN zCyR3YAY#!8u6`gTjm};uM|U)IveUss!atF9#9zu*71Muj%FyKpr|LA?AKX23&@vi} zir(}xi3i$_^{(r=Grk@hyAsZevKr=&iLzgX0#ze%Q^0;bjV9 zW_pQ8u*5IEf7r*|754u=G7y2_J?9%m8WFJpeano5q&OY)fULX_@Af;){znytze|Vz z@7&veR1^5SQ2vV9@4EnhY~AGkPs|3qubUWQcl=<%Ft351OPU-E$s?B`WH4bD`x$x$ zSr!+gH%Wi-!WcWCHZql@8EzfqIRYle?A05DHM!n>zV%j@uFp|t=2{#$y6){S@&}D; z0=AjIMWl42oeT=qQEz}sT-e5<9e5*_Gs4*HimEjokRV8{iT&Hr$HA*>ZT%1P%4Jxf zkkr$Km=qu7%OB>pUizMne~Bo|UeLJI*soh35@U71(^~M1VCGziiczeQEJMvqNTp@- zp(m%L$;?-66Dddw?#`0XsGumHM}D4i_~H@SRJLAIk~P1*vpW4*vPo3R-{z1SCOM-t zQ1$bQehjUTDtog}S1rCf&yh4Nz(OOgyfgbkN| z%U8g4RU}5)_H|S}e@Ut4H$114#q(6({ZBOd$WI>J%AfUfo%Z;ECQ2w4EX(J!EOEg} znMCfx3x#IYcP0-_9jr*sjpwY1Pf`5idppjUW_aa#%UuM>zdk}P+rOQUs9zKrtl`5y zi%?O14!b|bX2a$B@o-v{NA;E3rnuvi<>7AiL;5z73>pPRhJqA@#sxSElh_ZNYap`;qJYoCYh@9{4w~zf=ujKLGfU9u7*bwm4U)|3T@P}CB|CMWhnnV8@ zJ}S#UQv_o9gCYm5Q!obw=bdd6?`)fxSo_6w@c5D=U$$VM->4mtBwT7L2ld${ zo8Y4Xt^W54ZsB=T#h$~Zs=>9FAwWpNJ&{51=ly{>%IE!gAKz{|xtO83xE3D8u%69> z!-o&Dswxlc9yM6a_3rYNGIRIv84Ct4-KAVj%}TcN^h1o>3rf!MlrY%pl|QT+hz@3> z6+m6AZ@AU(+n*TaZsLH$7Mj&z7^n)PNdCR)6^Gm@*Zxgd9Jbma zwjTd@K(4dd!y9gOLr(XXJL67!>=WmO!D=bH(eLo=Uq`ZG`DaQtEPqh4VdMB4v32h+ zvEUs)9bNy|&wwTcA}nKKjvf{(Fpe_0NJhi9>*eUMO%og&_$hJafx%C}_GhQnlQsHQ zM`Fj`NfusCUe1lv6Q_gR<2z`n>0?)h>~Z&T2n2@Q%^F(ZZDNw&pQ zG{Q}H_j^)W(dIs!xzZ5QPR$o*nclOiVqRTrWto1?tit|)O<~5(WldIy8n~5mbpI>M zk$nYISjtRlX+AKOPdSvwo+w{60|?{7_iElh7^qkLWu~sTCM66t;IikJ#@UCSyk%mR z!7m7{M#3GEFHPcb8k;>RpIHpiLpBTu%86IpTFD{Ta&mk@<)l9Jc}a$)KT=*CnQk=< z%%!Gsm(479z>(UJ%JYWrBTHHETRYJANM6Z;1rJi`DG*RIZc&%_WCtIL2K0DM$7d2{ z6Tie=@Vh%_89UA;##jSiF1$TIKKNueOFIGiqBp8leV0_7Ad6*3CN&@8)M+DQQzyyV zut&56dtl1_4n%%5R)Ya|8!a{-Xx{+Z>jpVYYh4fMZ=hZs2W2Beh_W^8$CbI~*<37+NfMHlIY7}F6VO0gIj^do@r^J8!EcwV^zYMA2 zx9K;y5y>AiojVVmem2dI!XbBuYPA?v^HH?oXn0!wR*H}}u)IUUZy?lDhvHH4mgIrO8~ zSPSZC@`q$A#bIY?iFfc6liOs3>Y%jrWJ|u!Yg@iXrBBnx{A;T30as{mP#v03J-@@G ze;o~l<)5jcu>3&{g_WD@Z#9&E^Zt}2QH;UTo98=&<0P{ar->kJjY&Vy!p95@3M}gE zu}{Opai|LfX6hfegfrB_~ z4$+kTydHDOfgG)L3$q&Vy61!fL)e5`N7pB~fq{@LtV5LNT5!cE*!0X#5)S2$%f5>z z1fBEuNzF|Q2F}`2M_7?|D_tMq3!>ljtU>w)R|{rzPLb{Hlzr34etNOyn(|hgMQrMa9b8fJBmO_#HC*>&Ol) z|4i9|^$*Gp?5ym+Plk6FO^w&bhF7OYyr07T6H2g}XsGCi(`hZZeb8_Hy&j9}z4^U^ zpK9waPVyXCTrAw>BGEM8`7p5xaOuj5JL5Tk3OTlK**MH?)IJS|RTKg*a6#&uX&~Ni z^|(<0(|)p4R3zNk_IA2)a=c%cJUv+lMX41kZD@LFtK+tK@j|A80Zm7yXUuKr3bOjiNDPsHS2Izp2rkVcN8}_s5KC=g-W|lx;`U z<4EQKEgl#mehuk7Q#}(37h~mO=9x*(($+^2wztdM@n+&dAZmeK;LkDOywB;9I0kaFK$KPy{kcPDd>4xO-#3#9fL2GsKr&D9pu$}^2R z(K|{*Pg1(TP16x3rK>QZ0znDxP;T-q#ShRXC?-?`jZSjZ*VSRh5nv@znJD%g)PwxH z)DR)$J;f;o190;j(fZNtqcoDJ^WzT@DL!)z)fDjDh{YDcIhAK(ASY1UgS~t-G26KQ zm<2VydVD}Oig&P3KN5yOt)Bvf1MRWO>y|v^f8WPrO0sw8noQs#;=JsO(|x{n-^<{d zJ=WtmF~R2wB>Bh`0Mh46mHl5?`KQ_TuOU#d{xby%);}mvuyg#482DS@)Gve*enk|K zMz;J2GT#}dUEGM$ddb!QsTKOW_=&AA7`&Zd2uymgChV8scX=Y<;p~-eBr8S}k* z8ZJjF;M&CX2&Po>i;861!Dzql5SWyXFV-?MwsqF*^yN*My#Ox8pK| ztc#X312wH|B@!TQC7Ge6?7+hVlE=L^Os8V1U-jXE4)#cKsP&i$~y(lm8NEJ;j7x*S!klI6z?`FyG>RlF-n?Sk5-D|E(3c!>pITBz))3aM+& zT5)%(ytx{aF0aX8k9)ST`!EfLlVoao@$e^EYOMG`mFsAeHN|5LP&1Q0Pb?D^MS1PjB<#0Jj$DK&TY~N61|7P zFQrcAz`}%;!5%A!=3upz};ly$@!bxt0;hCY>g z&ub7|;se$`eoE@U-S)CDSmSZ+@Y*j3LQ|cL`#X&N*O59{|52%fgY(~0`q=*ayt4o4 zR#~DVs{eMQtUqO?sX80kyO_VnwJzze?+STYTFDL6H`h5(fbVeb114 ze}KHLfQY<*`oBAVGxC3D;l1`-HwY>W2os11I4B7S7%C_@D(G832;qA>A^&U}zmuV`-jKhaDjq=|9hAH8Q6cqh5C*Q z3<3fi0{S;xpkN;FFK|={NMdFvG+`xZBPVncmLM36Pf3M!J+P##%0NtG=P5WWGPW)9 zi{GIA3EBS+SnwZ0_V2*{1J^PL0yyaV;DMup2!gx@QhkXAq4nq^S-KhLS-&OEp1$3( zWgzhl9Pvi1wT)NXPFBt4RL0{bmBI)vh<=Pu@F)>6ysO+D|0;B+v|LMKS=AC6t`+nY z#|tYxD845O2^>>1o)&2?FO7UiJi;;pnefQT?7coXHz^ZHdkDbX_rfOhlo`UQ#@~kX zj4k5WlIQ#Z%#}*su~v>JL=Wn-z-6Fi{&B^}b~u6Q+%X9qnTO2UqplQZOV4KyjZQ_w zzEKobrURL^xuYKvr>&X$QOoG4N5FDIwNf0D`p02K>H#K?i$-HECB`|r&p;kp2XK6W z_>667^(FbrVP{v@4kvyKx>hO`m*kK{Vt8S(Wu7BD?c2OYZOK4WFGe~gKI$w>Qn-XT zXi<`+tK&yaCJ5h|?F~3xPxEUAeLI%D%%!zNcthv;t4*4i$RR#Et(3b+?7O6aS0De$ znq}0WM`6QSeRR*d=w7otwmnbS0CV$bHehQ&RF*$j$Y)=3v{($PEv^>$h%!2Phm@@? zJlrrmw=3VgI6i(pe}lA_p$|a5OQ}iLQBs;LF{v6W`7@Q#18(y7?D{iJtC6qMmGp+o zC2Fl)s^!B<+S#2+9z}Y7*@w>6G3-sf;Ja%plAIEJbV5JclG3;=SEx3bzO}u9D02Bk zIt$Q^uym4bb<9A2Hszj2N*AOZXL+2e2_4*`rR}YvNm?q+rJ2c&)5bJXjuksmh)_hAjO>~D#%04^5+eSRws&NL6>I)!+sKy$I9h6Fkch-QVd72?# zm*lC`C*k2-HJ5Fa=ZT$_H1XeTMpiO&CQ$ z(s*CA^&t+vmxF-#0y84am)&XGPneyfY1-DU2K`7Kk3Dx`5vb&!djZ-RO};Tw9gyJ7I};#Z$SO2sQ=-?T1vu` zrLLcgvM(2KtS!8Vpv5`NmTk$STN7V*y&JhB7+@z!OOgbFxof4J2cxjTPPCh=>VzT42~T; z%FGg77C-K}KrE2y_ zq#pOjTcj=SZ;TFYBU^@zytqH=AXQ6Hh-pvWBAA4yR@)`!{BABV>7-ljo zY5oM;e0iE}u4P>Eu1q(UY9+W+{nAJ!l)P#ouaRS1f{>Yx6zEY*O+e2`9<^GnSLkC5 z>lxqsicD{L26nQ-$UMAiOk+o9>moH1ZPeJ@)EDFP-udO0h;M9uDmJ=Hi_5(kbs(m} zNAR9oUSH^ghflIRSKw(-aA^MzZ`T1AMY8l!&xn|FT;myGBf(S55p%>WA|fK70)h$j z44C!IIVn(Q85 zVtZcM8Bn&7kMk!@WzT@&o1D9xsMT&!-6o%!Tm4;U-Q=0OULEZ?J@w)D<&jNOI(1I# zoqY4LUu^!V(c0uH&!_BNH9aJ2a{U?l`h|lJB)oYrXOb zQN=r63)J`xy}3JXU?rC$CI4}rk}_g}d*VBrjT<($Ec#^5-LlPF9Xa4u@!ruhmFE_5 z`@Ar*%9n0`AIM$owNGIE7u_!ReK|eR;mW&{bz}an+b?{{qsU4r6Q*o&PjPJhIQ!J| z)sxE?OzG;}WoUYrd2YkztbhNu{OQD$&Rd=@8EYtG(`#$NG8tBXgik%*C46y6>C=76 zZ#y40v{%D%8%kbx9d$i9II?d1Aoq0(F9nZj)_dx5+vupK9sE~s{Jw6+nqv2A)c5!h zSfoSWj}JoitDf%s_E&oUl+)uvJ!0-YPB;+eoF{E(V)04N4(X0*Q_-Y)JR`z9wc+{;8=8f7D5tywc!fcWjhfO3;Kc zx^?vyE~$90N1ILFrBWj*9J+3>wrP8}<<*bVWBzOv6?MIK__dfW&bdR6MXf3vUFgTf z46FTpkA7Qqr`yrPr#64<6m0kC-6Z`*--k#0s3NXKR{t|L|BN4{(!zrRH{CtEu3fkEW|st;W~Wcyi3u~D*;~)M`H`4IG5d~O{xm!9t-*)e?#|m|zWTz=)Sw>q zK9-s?_xzWdC)(yb=Xg_n>3xVQ`F!!EPY%8-J$y&y6LoI)8M*vPdh1=~ay@eNub%ir zbv~(CbUCMHold%rIWjrrkaO==MTRZ5Ew^r2*K;Y?huunV``4#()^WqeIDJ|kYnxbV zq;H+@+=<$+U8?sVa@E1ljnt>@Us`R`aiI`6$IcjVX| z>3{8q8{o-yr&bn8Uby>_OYd?v4m~5&w(m%{i{JakyXAzVy20gbDyGd|>s|g%?M-)H zcFM479Fq(;2J7#=1-*1kSEnue)_hFch_vmA<;!{hsCF=|=Fa=CdOVAHT)Te4-bEMJ zclXM$DwN(Z@vj!2$`?pG-7aOs^vBYCOIVHQQVADZRj9|y_QttaPj|m*yC!AD!x`yK0_zR$ z-R$k1yC>9x_8*A3J*v+tFR%3CAOHDUePFMa2|0@lb(mQ0j{zgw>T-S@pWs|$`^2*c z3po{zOY2{*@X*xWC%P}n;a>BRI_3QPFTr6hbz-ld-|aYKjK(x7v^!v$GHrvy|YhcSWR1*^*~zL)oF#w{&k{M+u6yhdZl^#JpPi9(xh02v@Pddm$ge< z6MpiMPp9@N-Da$v`?=AQ^oFbVP3!48*s(9jyv^gCcjo=DF8FQK;8lg3i>EhCK5(>4 z)c5eZsi^7VPb=k(I2QyRsd>YIH`{*-R1$FHT2{#H5U(yAiq-YLx!7R8P(Klz^* z4|GQ&Q%Fc6@4I8w z*9lY8vm`j%&dwiuA~fgF^uBdh9ebERIJC}>+X=n;7VNrx{*(0liRB8HjIEn<+EKTZ z`S0^N9UT_!e*EB;+sn5Oc;CJAma*;Zm&V@QP`-5elWCjXc9-na=EUV=9<3R8=STbKk@YHxtH#4VQWfs3kWY2nHJn?TbY=GpH|(mP7VLQ zvc7Nqv>I`zGpuem?~;6DiFbnCtPHCXpZunNT^Y0X#ho`-gR`CJyD#v@g!LI#9$uwB zMV-(s+gZf>aNbhudYm}CVB-?Q$?JuCRxTV@{$;yU<sqt5$i~TsYFf^wjCaiBn z$jp>$2~Xo@L{~}oNb!u_-Y(I0{QBV2v9QF(cqi{XbvSfVz{tJr%Efsl)U$tnG$}Ev zF&O*<)5r8I8#6t?#d~DJ`v>28eyTqsG}$-oO}57wR#QM*;%3#Y^36TX<@l)bZ}O)& zoQSr&5t+Ac;lzUbMT@!VsIC(h25-XhH>-|qU; zqAMjmsFbp5YTdw;Mn|LnNt~DP#^pp%h-Qg?=j+jL>boZI9btdbWBdIFr^}{?94M5Q z?Pz+?wB#cNPd>02`n<%m+djRv+FkUwt(Z{eX0AP#N}P+VaeTns4JY;uOLtiD^j?=0 z{T%moJTde^{*v<^zps|C$t8cs9fbl?x@=h-QtO1rm-0mhIQFS=I$?OXu&sS-pMUvy zmt$IDMEJ=Cnu9~yc5mhwS-bT*>zI`urz%I?ca&#P?jgC1-2TU%vjyzGp#4GH!FS zY)U~8T2a|1jaK5$qYmK`e&R}oPL!8|lJ3Vekc+Z9zWP%;pWN+MlSEH&sj^dempFw! zm1O#*Ir=%=ua-KedUGs`jljg`c0T!Y7O}F*pCk7z?)a4+rfcjolZEJ?7B8Xd(DC-q zOo#(jN6TYwJ@4b~i%fpkbXbt>rge>vo!#zo?0%b!@$JI`+V@(UE5*8D-h!=$Y#e;0 zVvc0SY_9!ZFk~)o<#l? zneRc44;RNgf79gPl(5}UQC zH*rbT%e|dU~jnN%jov0RZ#wvf`u*n|!iyIFX%Tj;t>wz&5 zlUDs*NMn1rbNQ`Hw|1x-v|#!NFFTvAKWaBWo|u2&pNpsI&bf?y6xhBQV($Am?M#fT-F!*L~yQ?pl^u;SpjmRYU7ITW_-m*a7*A^(Im{3FtS)XbOnJ9ioQJxlfD&*M2OS1D3K zQcr$P&Gi&w&b^=2-x>XmT4m2ju$pOIEG?sa7ps{Wt4@uZ^S#8|lgatFgl5UHD^9~< zhdFC*=fU+mI>LJ=R6RA04z_x&-pL7G z#o?s0wRbY89pF_H23xq|@1#?q|Fw0}!UOP&hAMcc2fiuV&^-WNp8>C}P}z0q*fJ0L zA62zM0Rcnn*x3aI1=;%hxD5}eJz#{byPuC;b$9`WU8jKIf$jnA-G-z0Q)txa|4i@2 z(4#?7_D3-zj!w3S4JR!$uG83RHBL?rDviO>*3n+?2ES(8}b*pRnTQ@8w=Q z$Zz@$Z)5rhBo(5*eU{J&Ch}qNW zY#p5(^!C4oJ;Y`}V1OkC!MbHK$ecU<2L_!Sb+&4)K@Br0=1;A$b+C8%Rns>t(8C|8 zu)ie^;dnNaL*_W@zi?=852~o9IdpO~*g62;nqM=40e*f%EHVc*9x|C@0-FEAoIwqW zXf}QD!Wmn8ox`tUuD_q3w?*b4jL2k;!Fm1{=5%^nN1a;jDAr9UgU;6A@XKaSurOe^ zEiz~?Vvq@b{tJT|M_6VCwT5C4Uc&@_!Qk*~R1+~c&~2Edl?T-gGUt!++5dq(M`T-o zJ$s5hFfES2-hboHAKty>?>F2MSAa;&T-pdN_b8XR`C( zBN3AWcLWRKq%)f&M`S@99R4d)1HAm*hq!t9cvzY!jVLrR{L0Y85t$EquE2KKYyR_G zSzb;WQ7mHk@3DxJ!4^U)y_3qpQ44_Myd<^Sv)zq zRO!17=L8lyo#p+9##=g9?DERZB|aiwfdN6I!aF^B@j3PEeO#MkF`-9x z&%RS4=-bQZi!y?b?cCPo>$5H+dbUVOef}^eJ=M=Q^zV1Civ>=z4ZqqTBX_3-=lENy}i~420ebT;eABy zQSPo`BL7~&rG^CAn5R> z_dA*lt1{@`j&;!!`bLM0{_^&n-^0+1`#bAr+%G=oM{;b^qBy&UQHPcp4*1;~ajfCP zA(xk(%`zt2$}g!O&VO!od-Z&mRwMI=){i}ZEUC@0;;l-iT^g1CE%wdbn8-2TKRsWx z^I_XN0oQU=z0-EtwZReHvyZmkF<3Y9=CjpZY+8MM{drr2ycd=*G$@PJ!(%L@_if=ltX^x}=PG7&f9~}GTz*?IwPQ~p9 z)j2(QVwYv9$6P~ox7X%4TA^~ckjDc9Z*QzQy!V~wHuomXEbh^-a>&G80XO{eHKgzTI zyzQ%Vj~Y$+GV(~pm+!Y8J{mSIA+q<>fRjyo_ZVMzUW7Wj>H?3B`%9JSv8;WLTYI(l zgI_d{C{?aNiAf)yx4E`-@Ae$I7H`}SxvM^Hm3EnptUDX+4x62{-e~j9O11TyWK4_y-)jvtskt;_Tl;9wS~MFwQ=d- zGpF1OFQ2bduPog6pv*X@?HB5b4x zg?`!j@O#pMhZ&#y`aX;qJ9fhQ_w&AH3Gw&-(*MQ#rt2;AFf zTJX`^S>6Xa%x?apY09ow?#bgu?tM~9m9KKIA@~nBwoR=TG*?sHIh_06tY$BZv&qq7Djg` zGJitDncpg_-ff%{7V&mgw-Sc8g>lQO@dI#05 zoK)eDi=%D!44Qc*YOHEfZkHMN3acXO6nm$iJwET5ZHs%1DV=nz#?ibBCOnGGwQzRP z)^#U`&Q6`&(~`V z`Jvds&jxne{^0%?tHgW}B?s&n|FXv7oEP4n%C@HL`9_ny+;+!*jqRWO!rr>;!1C5f z&!*N(FC64r_RlpzwM%!fndtkZ%dR_T(qBGZ)%VBHs(UJ{1BT=+J3IYy*Jr1%)m~BO z(em)rnA0tbJp3?kP~3wJ?ar>aowahdo|WtG$$nB zr-y+jvRoaN_ruDPOR^0JTAXctHvh`k)P{Qgm1CDonB|e;{#KLoQ&{!^NeoU5>{s!rX(zH04i_5Q3~?XQ#q)0&lQ^m0kqv>7RLxI zPmwF!#{`tR+hXmGeOXS|8q_!zA$=b*uiC`^K0ssic{Ykv+dw;p_`%p zk1jO!i0ua*t&M+ss!jWB`PU42QepneLM4i<@XF;;$!5*R){Rx(bGFQye9oa(wd)NU zuP9c`bMWd4uk5XR1%^#p{Aa#m{quR(x_)S(jcvVM1+s0mxjMSmlk0h{yT=zRKFce~ zbE(Gveex3LO4Z+Z=c%;$(TzG$IXY@ywXUJcGNxDJ`u+h8s}Jp%<+fwdMlYR?WXU`E zbqh|z}YT%o_L!X!O>bG~tmE;Q6 zgH{jg>D6K`l=wR{`b3R;aZPGY%ylJ4h3D=~_pd8D=lb<=gPK0CG)dJ1y0C*TqITD@ z`A~8GO!)tmUfG*u+dl4fzU|`zRu^57uXW%nucm*ttr<}3Wd56t#y9)EX55hb8_zsV z?J#5BpQX0XiJj8*pto0W!Cd#%bq9{yIDTYU*N;WEmk3?EU~c$&_k>-xF6F+=*t%zb zq1+kAG?%AWa=PjonssWP0$FMoyIRV%d-<&{<2(`~JX&VUayy`^YEItnGcU%z&g0?U zHQVv=q038sIlXywdbX?MLmP~!^)iVmuxmGXB?sq*8MvS;~ z^ie6VTpb<`TK|uYzUrZU`cY@?PBeH@zrX6)po*$S6LU?T^I>hZPlxAm^ z+hwz6ec7Oa{fv<|XWl+BsOl9iFeOJ;+&t)g`-BI7Z?>tIWxm>A~0rp3A+ z?VIfP?et>!w`yn37M?loj9Zfi_x245KB?JRVb>jXluLtw)5hJ~9XzA*@B(p5CZ;tz zSt;xAM{9Fk4bOdMRq+WOv;!JA&nh`5=0J%VSKazNz2>;{{mV;V^RHl^;BYG-D9$FA_cZS}!DUz2|O#*bR` z_xiCt*RH;NV{+2c)@v5rY*jO4{qjBkjC}n%{{G25Io7xJc0S!~Mk1m?QyodbtWErbkwz0 zmk*r-AAWxR3=Bn|^eh`f){KInTk9pC_#y^Zj+! zyVs{%tNP!Fuv)t!+wR`4t~Mxgu0!OqZ2uTWWoc4Bd;e=!#xJg!%kPit^^#}ZtTClj z+~tv3_HJ6(z}kOx75^M>x>&V&GAip#czCZqdyi|wa?JGWYZK_2>O9E(kZblahwi=2 zG9}pOLDnfF^E7#JqU4FVcN0o9Y3r+c-|S|?yrXh7xq4-MaE(eIL;iScJLU8rj`5Mj zj(zPB^Q}j=#_^Wv4K zHvG}X%kdejoOVA_yDaIH?0?KH?83{f1OA3nNKhM2d?lSALcR@|_ImhxAtZACH5_t$H=k$8GzAav|9h9)sLDBZhiNAdi3exT}5X+f3{om zMR)4>#6C%frq=Qc^m_7Y^NO;Ae}n{HzWO4*(8=!G4@9-t<3GQxPn}$qJ{5hLZ@}9t zkz;-B?U zCGCH5B+qwuznwleMvYiEE3B@1_LzPi);Wc7IgK`8}sTF5{oHH*RIWNV}PD2HbeB);VNpJK{|2#7{MRo(()2 z8E@@=w{@F|Ezjz{9eRHM`??Ej@7D=mxi4es=!mo1Jv;}_J^g*oirnYB-D&;)V9frI z4HwHyIPlE6R6?&)`}KQfHW}Tu^wBJSbMH9~9@V9H*+J3kdfuA3zk=V{%454m_3eIm z;M#-PS0rDp8{0UcdfPnSB{wcPuTIHf6%Om}>E0FR)g!kScRbtn>5}#9x2m>$J~jMw z*^aXYe{Rtw<+=5+*8Q5+A78X`-UA?!%mS-nUQlnp$Vut{GDnRcT!3s=@C@wb*G%lY?6>dsWZA z>+-renzp#VEoWiZ52tPF&AJ*teDIIGJ*KbQe!{-(=}{TqFV%|nSaa=M^}lvjdE{$b z@BG*0<6OK~-MP5IGcvwPk^7zdH@jGT&v}Z4t&*#G&oNvXu@ zMYk;*{C%?1l^%7cewovy=ajEQ`rN-$JE(M*+EX4x zOZ59m?MD=!H?sbMaveULF5Bw*j7tUikS`eYeR)FPtg(ZvD%4UFTn~P;1qS z6V`3FwG1qna=6Oz*XMfly{TSYJ*ji;KG)n*uY;PVUuXdU3m^sx#jP+G!>Q z+<{noe1eW>!PNr$5yCXEbxkUF!>{*e(af;#kw81KB{ z!DZ_qe-?XmchG@p`&QPh*gc}H>!o4p&86CRZfj@fF@4gfOB?Fc8!+u{e^-acR}&NW z+kP(FXNvEk0jruGC_B*is{M>!ZgFexo{E3bcG0@OT2}8o{q2o))>mrZxEt}}?Y3g4 zk}IbA*1o;S`b){wkTa#g3(jP_Hudf z;afv*6V6 zR+GOcP51;iA6B_NH2f9z{dv-@>34&Qj(&Be=$ke;J#nYo?Cv)TrOrE4uHK-7Zg#aUmeMUr3cPs9ebo-P z`ty=H%-+$j{-UH$UF%OtZkm3vNA#b?PWq?6ne#69`G{saJU!FyJ{@D1QsqvS^f#@e zo7X!$E@s8~&!e_Jt=2c9{nE>c{s!&BvMWCJU3_`kq*wXg&40M;OT!O=n?vr`yxb#C zvHC~rPK}Bjwr}AqkoSkc$2Z=O_-o0Ll(2y}HMMF*l-`}TVzyh%@&kWHUym-9Rd)!) za<0V6^Y=$>Ew7HR_#>ot(5h{VZ+>i$m@U+6Gl=NI=ZlvfXN<}ny7|(|yzRfF zUTl5m3-_y^E1ojj`^%AK7ZW-ziV0n~=3;a|u@qe>sx2IPc`p zQ3vm}?GQaBw9om3r=CL#{P zThu@Iy=g8_|Lmq-R4R1D=Dm4q6o08(wAA}^y1M^@kR!Lo?F-%h>hhWVlLmDw9qE0h z(!mRNN?wlZov|)uYS^C#*DrASawTtG+n|_gDgP|+eQEo#S;(er5m&<_7F;d0qSvgr zZ6Dv=pZcRi`?mF>!}hgn=;WU?Y|QexsUs&GvZ?xHgWH(FRmL>Q*}R*rcAvwl&*3AE z+zxXnS>scN=0ljn#X;6L*0p~=(&uY#p9b?r?izu4<$vA`2KyH3h}_jTbr$-6^_ z+V|LX@&2{cWs5Sh^!YJ+89M)G5Kr>N%W?{0nIxFxCMBipElR2&`EShIC`;jZP$M= z0&xJ@)m}v^&bT_L2wut6Nrg^j0J-Fk6#f*4eKp)VDjbD$px z=Roiwh!Hwz3?l_7i@!=ZeE^w1;*VMkM9`Q#b}n86 zVD!Q`dz*e|&m7#~9-9vF@DYGGZT);P1-b?Z4;nSJrRoXd#G2TqF%a5&s)Uw7evMl; zQNc+UFa!Un=Ib}WLxAOI)CL4;A=nk6A!@j0-8S(WfjTr0eSr>zk#;n1R;zUsH7h)B zsoC~IqrwyjTwsRSMjgbBGKZ>WW2Ho7AleQi#)F5(8w%oT2W!%;{}8ln{en6Tb@NrB zb0d(W=?{PyH2#4dlf8IMs259&(qkg47>!Bboo$?&9Q3&C#_h>MlF|ua*1TJdTFjHs zkfm;kPS}$*jC4X^%)D8xPAd|X@VKRB1)Z=bMg%n~FvK>h5jTqT(o&<%ygUPk!&EmM z5}>NqNx-c&>8k4N;XcUM4}e}?9{$yZ1!Yo2iwg?QCCvwbcKrvdu#Gb#CV)KhR z1+o2-<)>4NhlV2?rrPoHBiu52NOG)s>5>vfOBI8VGyrOp&?6*c5Z^!2*50jPDdCv@&V>k+QP#P zV5s2Vz_rmP^`~sDPCOq%{}zXd9H7d1&%WP zFre|wN1--|*O<_dCEkRE>tOQ2!mwE{tf%Hx$~cmFHQ7GQ&+ngCJ+%g;OlD_hUIjUr zOH`{Dn+8WI=V_eR_9{n{Vq08D06R4AQ*F?TEQZjKrCBr@e@By!3ghgE)(Q^eOvWEa zww8@QrOBvKOT7y^A17Vze9TGM)mUT#MV;!YT2G${y#lU=< zv!vBiiYd9k1^)ujIir6OhVg&!FM4tCB=m1d@~jRCa$uPkN7GKoxL9h{WQUwgRw2_I z@Ekh8XU+Rm8>l{ISpv8KVwATqNY7{qp8=-=Wn z&AkbhgSkZEx{1iL!<82K(`Z_l7N-&L!seZ-4Pu3aYde-z$7l+iOzRO3ly3{j%ouY7 zZ1?a&Q4!BVtCl1w*aEnRlR4i4=R+}|DaLy6ezY(m^oGgw6PFQ3}kAQMy82EHx$eTucier zG-avVOdDl9-hc#?1|M&5HHz%%ENPV3;A@0tEe!x`ltyHuF#G0=Y3=ED(GM)OOEk)O zf+Mi?f3i{b)S41TU~#03jl$AkB|uq!_Dx7;d3%wKGM-C;6f8PDbV0e!nG6Ipv@#}oZ|KXX)w86%M;4W(bC34Xv&h{GyQ@Q+<;aW1~+j10?{=~ zeu1)zLbH|zfHlhaHVC12{*rN^+m+f27&XeY571y1{|_2P+i$7u18X#}G+2$IVl4qb zVo9=Mjgo63co|#T%?TxhEOl$rD3O)GU>B}Nk$A+CMo|%gfUvPN0HRSMQ-aGLvGSyh zJ6kx)Y=shyGNOG1RTWtLKWG%?E`$+Sk^o1e1Zl7mpd>2*i7d4%(kP5Qk_P2yc==m< zx?8DDL#9Sy+>_9$hM=A@4W#HOi7a_b8b!&It!ZO6^H`&dM^tFyG5d@>9jTz4Ed^xO zu2G{zHVU)&`!&ju_D;%e6qW`f0S79y6L4p8HA=3H;-!-9=?O(mS?boLQ6d{fKyn<7 z!hku82TK~tmI&xOO9LPpC9+W%smI9Ek@6)1xX)6%M58bkkSvt{lZ|quypu2jiz8*? zD2!ZWB|t@z;sOcy4B2c=8Eq7IH-sUNGOQLa&umXkFlY%EV&_i0H|3@d=rf_qP6Ymz7=VrqwJ?_7pX=7C zsZ}hDz|vH(t2h%FO|4>`TD*z{G^@pC@l^)Ey$bnXK?E473kAHucXWi3UHC)TOsFtE zN981hz)&|;I2wmf@*N*T!F?j~9cP%~?v3E!;Zv}~kdPD11ALP2hOG1w}UaFKP<14JVN!$9>jU_|zy zG@{wCytX(QXpNTgkjliN5y=A&nzs~;0#S1FMMvEd(MY1ksaev9(BqZ`!pY!jwDeR+ zMTKTPn-l~O`qv4BGV%gC+meQ`#yAs5uEA?ndK;$Yr6V0Eryw+CX&l%VV+=nwnHX5k z+F~jcmAtE9LF|GRu&^+d=^+&b2>Nb`U9(|{ut0c`!o^5p+%m5FbhFD95%`Tb#_ zzKn_GWCh`$#Cwa}mg4q8p^Y&8? zNkg+Mb^b*U%Ryua@FG=dux`SqU=Ua_P}>?wq(0pr#D32nEn#YzLZ|cZG7pBSFkm$5@yT}~NmodI3LfSWaieF<$XpK}D;EOCd76Hq) zIFQl`n_k&$YlXWXkYE%3tfQ`eYlU=(rD0L7Oh6cBIxDSk%R`R7a6*1H zby|#@vNR51;cG>AMexws5KbrFLgOD;YS+xziS^JJ8~u`nPhIE7(<^^iBAZVZsfy?( zd>UO7y_eHc%TW^YOo;(rimv3xxG77VwubjEwFfptlE=9@#01e$Su(B!71Jlf0r}*0aeUK)E&K8(>0ziNL8_+FD8YyVr zAnb|o(AkKSDsZEfyhmX{?8X)LYxIzEhmtpKs9{kHA1_j{dxCDl=f8MKD(;g6DpUPw zjWrdeww`*Ek5Qox9J)>Asp>*nNyt4{ntTnRF5qf~6Lm}TWX6-pQ(Kg#ffwyk<)WZ2mc-KM z@?`YNRBWiB!(B<(w;4||HN?ORclA_MDxlKkOH%}=w=7LwRH~;!I7tXSPnvuXy^gwl zuayK6)6&G(0;HXTffttQspw7eIs}&|V;EtkAyk+m3FD@D64@xr^TZ2~sH#6&0cEa0 zLuA?z11}Px$|7kcA@N*ka%~8deGzXT1Qd<}p5)pPPWYgq<2uRf4P2i7#fDIxQxXOK zlN!SFoOEa=39zSoHlm!Re71q-->AZj@Y30zu*iH&z1oRdZ;}XTGoFMzjSL&Y^PE%x zAT3)V*$mCk(-1zU8Bk_otrrm5^0i*B4YB8WPO4@bl%<~ zxzgm?5VaG%-lR4pW<1HXA@)4aNk>2eX3)|+a(Uw05UOAh6njxLPqH&#k4j@{BcneimkhS>8wCslU=N~}oq%;kw6 zhfq~Rw348CnkU&B!td8+E%#D+#-%ZH!!?wFA!!Q9x_0B+#0x zoBTM1x=y2&EC){WBwIImUWmesYL%gzwQ|wT4m>YJLmed1%{*yl;+oBLlfsxt*0BCb zY4W@folIATl+}vLf?Hk{JTK%(<+X*Tl&*9>>{UaRaL`JkihoX;jyx|!U7*)0Lj-C? z^`tFI(~;+e9I4&1B%+(Eo5GIBJcia#%mZiz_LQd34ge0<=D@|^kqNDcVI?1Z&G*7an$@6bi{t_ZTLV z3sG4{+>~Nz@*#FqJ_T;8{vK&^{2PEzMLSEKGK`Z>gmJPgO^z1=xGUAOR34hEo7^}B z@a9y@%Cf<7SGp6&zX2Lu+_N%_u1+pS*NNkW05nYXER{pzN%LPox>UwZCqU^eX+5>x z3HJwi@}z91GVrHPRHxeFYKNP8ysjzZDG99O z%9ES8)lq41okA?Fe0lPG5p~raZqF&PG&+n7l%tHxDU+uVsmPHh&mB?MJ#~^eSFSt-gJZsJ)=>vQI?4LZv=I~4d6rmo zJby%0M$rjvDOHlp8qib@72y10B@t%bQp*%mvLhCFfepu!P-QQ4${@2kxsX{6@2w<& zyr;PSnV5x-nblDzYP#Qqnbq)Ki~&G?iYaA53x0jOj76v6_#+*4ny332)U1wQs#-^# z=IJD{t3Rus98agCj`MWNz^yvtJDsKAVeoPrc4_FS^E}*^rB%r@V*E12I_f-6rwli% zlZ%^$x?()0sQB||fU|hKOoBy69Tn;%;j2HZBpgqtqs|I-%J8i^QMF>rPC>)*bUFuW zlOhQh<|+w4#X=nx>LdZIKPyj;r_)iVg*r)`Db=+Omy(uY#CTP4b<_bEZcFi^<0e^j z)N!FsA#7K6B*rVls-w;eb&^O^TArdB&X)C4%h`G9sAD~yB(RsKpBQ9o9*L>xgsLRe z)Ql;a*AAcx6~~BCCx$vn%rKWJ{`QADG1MtU?#fpZo~NS@gmjW%Q!{xAHbl;%<9Ryj z%upwZ?d8goyZzBQQh6zb*j@SZq;#; z`SRq|8Pm~+Y|6l}I$T>>hJNZenLr(Fx+QBy^VU1RYP6cRB+78Mkb9D;B)m#nI{KLH zXMnblTtEXb_jEe?P*Sq~^3N&>&(qN-c*>Brux*noPmVv*(}z+&1GR-6DNmky`Uvkg zL2WfUj;GVpXL!o6wyx3F*I%9B1#`%REr zc*Pk{o>Y2M8Rk|e7jvuO)mqWhCn-Mzy4CSPw|e^ENfLlc`FEY%BElLy&mYkTC6ahw zo>So05!TbEKML`@vUgs3o~NUaGbACXX7ZF9wD9V1=;`AONyINto|$EPsWTcqefpyi z(JNn`JWod-ln8iMOP-FFCw>WqjGcm>=Z~oJwK_@6FIS%2OpBgAC{c*%l`T(RDGohV zm=!idU}P1@Q*Ne(S206RRpf*%phVYPd2%x?daBwYY*{2)rj$f}5NP0eI;x(hP8s+X zwr$AA$RJ1?r9kzTS|E|)2;CDBtgnqZrygee6|!t-=` zab^IvEK0i83x$2!~VDdWE%mWkgm81P@-$DesYh8 z^i=gB*x^aEOhpdz_0xgp>8KJgdPzts)wLeKUsA?;=T*DVQ#ZhHTT1m4!*LlWOWk|a z3kqkcWtu6Bu$99U&mU3fci3D?OeIfA@B(3(665al0&rF~Qwo%Xx2K~Z(|ToSSUpDA z%8@6}A5p+j+?FM-x$@)(EfmC8F9~*~lth6%d3!nvXRB9+hSg()tsHss{1JtQ#ce5; zCpTzOQ$QWq;Yn!z&&reM=_oWTY+00at;Yyk8S>;6z0gybRos?Rd15#&v)(E2FzoP@ zFeP67H?aGoT&mU2kRos?hdGdo63gW9*2JuxOPo6)bAil6$QYKHi$3u=he?*~S z^^zE1oNlDjwT)|e3{p9&03bTsaQmUW$?d7aI zsd(vk0dXC9{)l#k%7D0f0X!>bz4OXn=qb!9ZcDK|gC$xR{%p#VOC-HDbY1|z4MQUD2Oj?E+tx~oUHuy?!@y)6lPVg42Y|j4a9Zg z`6CLmirZ4EpL`&$o<7d_T|iu34GcYnhSe(r;_77sad|~B^b}?lx20H~{QVJyS=CFT zVSiRXdH#sPtm>7)W%U?>D`&lP{E>metm3v5%agl5GEkURy(Aj;XXVN9M+OSBs#gY= z)nf>*v^=4%4x5BDP?%NRmQs1*_jj55BLjt5)k~sbe@>oISck_H6VU8fYD2$VSiRXIr}36g;~`rgUjkM1XqrJa`s0C3bTsaQYuejyv)hIfx@inCDE`y zD^HF;GH9qnTV-%rJ%-@Qktfd|QJ7WSmSTAd2^q$IQkYe}BpUW-<;n9$RIwDjBxaTB zT8|;PGUUmtR$-vvvbZh9^5pK13=~{euM7h$JD!JXMI0kW!DV3!sKj*Z1z@in`^l?T zVW8l$xGlx<=7lp=Gad>UXFf>k$Z;U zgw%!i{c_?Z3e;*)hSY_9om~Co`6CL{irZ4EpM0dQfx-a87EofQe$pRldH#rcmnv)l zm2?gJI=S-X`6KF;r?@S}^5pN2C=4)c0hKVNV7>GF5rwBUD8thl1Wd6Uf5fXPVW9A| zxGlx<40}Y0u6gprg>#vBo&pIQlojKZ zzutK@B@7fu*q{tgYrq&?Ir8NBBMKyp+fu5ZxNt5jPjTH^gCtz`XZ4fkk0_9^K^dOb zAYh7R*-xH7qCmp9EyeQW?~f>uut8ZdUIqHe^G6g&*dPgNrKZv#w`8uCS5v}3frN2e zO6AEfnQNdx!Ukm^Vfpgp)s!$$?-zhApo9@Klc(JMk)F3dqVUNENfa^9e)3D^8mL!# z!WK{oQwrqC^G6gu*&qO9Eh&kaJmv0>cr_&q6h7G?i6Z98lYf3h;gb!@K*9>-$=e@M z_+*2!lDP)CC3CgB$`1w#%8T2wG?hGg@=N9#DCDz28Aw=xJbC_zg7O-a6}E+a9jqid z{z%XBM--G7x20H~{QVJyd^RWp2`i8%&mU1xUW2m2wgv(DD@UHZ$`1w#%8T1lEKmOa zh(bOal;LR&a!clFc{L>r6rL8hrI;yx&_cnFVRQL=oC2?=gn`1-!aht%*RW%hx#)N` zB@7gv7PqBTp8S%z1`2*`kgQuvc{=%v&cNGwQ6+N?%J8%XjM0^`Nip#J5rwD4Z7G%~ zKdDQ>k6|CCL`f9LlehDt3SAnM;b{#5rdXB{nUhlor2eL!}ks@{aH+ zI3f5H?6wLvU+7u*6n+Drg42gj0UQdSq+`{kh;W>Pg-{Hew_%7%>d}4m^%QQQx$h#`x6F*Phwg}&G zKjeLZ@E!L<-gu|NFO`Ge<1!KOiTNRK9fR+rADsMC>2THzKCv9|dzIk#IQasfczpOx zIY7s|Zulh6lljN5GC_YQ<0JD=UUZ=%?}mU+!ViA80emOV<3Izx6Ml#u;1cT4uHYBY zC*eo1Yv?=Shv)$*=d8j7li}|e1r491AEF1O0<8+(;vwiKsh|qqu^x~LpzxjWPxJt4 z_2cJ>9zYd)@}2yS=z$KSUsO7x2Re-2P~k#lFfNQffKS2?(F0O+N`=chb%akmK3tXv zeaHRa+BWDr=AYDgQQ=A|K*y&a@JXJ>Z=Hkhm>*pH0iMI972p%kCn*g8-|@Kcb#(L` z=?7oUR^c1SKqvE0ZsWptEN60?6u#s6B)1_|_`V_hj_3itFNeO9-w`{2Z$JT^*a31I z2)+}3h#u&P9^e}k@I282a+?6Y*o!AAOuSVbT_;9`z zeaGX&`9k;lerq3>AkIA4RlW4Yse1Nx5Tj`z#(9q&frlk`LU1KEH8ojgzM0uE8( zcf>#75DR@L{SdoA9I$y3SxrSMT4k9>ttj(IVl`;Lp{geoSZb%T>+IHlh({i~j($O% zhPwHx09U7?{?OQOi182Xu*8*FaX+%%vId(nAr{6QF>W8MsOTL~_y-EwH| zc?J%LnQu5GKvm6usGGZo*4EQ&VD&t9?NyM)M1qj9QQ_6$Qqvo0$%{bkahJkU61v2L zXY|3I27pMG+o!@nNTFx4MA-Wo!E}kl2q`Fz2SivyK znOF{|YC4%9d3lFStYG8u#A3h2a7ZIjl-+bPh;BMT9vVsWS4}L9`Vsn(ZGY&A6-2@B>Tj(koWvAq<$kUpBE~ z#|0^IP#XEe60cY+0%l@q5R&Aj7t0eX_#`d} z3Jf?(CG89B{j!OrPTQ5;-lA44|BVLrG#W~>48FyQ#d|V26U)g%s_8tW|`6^oVZ;D}dJ zVdDakKG~TWu!xxcX_&H*9+KU^*bZY>Ei5NE4VN9@+f#^eW!76{yvgPi2g)D{ocuBu zftCuRl-Xn)RtOWox5#ShKvgn?BIl`i*N&StYBN;=5b}rOm>UJnmS(MqpL?K>hi^b0 zyVh>Lo~mjdzO}n_!U4B9_(khR#gCNKw4P?(!Rtv9iX>k^(gY+MM3O%w*F(1Q#;p&= z?W;6oXAUnn7P^Nwx#CZfanX<+wT5I?G-SsNuav>hkqn83WJEN$9*RmsG9DT-Kn=-s zLaj#pJCecDkc@?fWGXZyL!luV3Ju9jXz*JFR2q_@)R0VshGZm-pKxPYXdiCcl25$T zhMQyfJIOd|37=Y$S%cvnNgBa(qj0BD3eUKjwDlH$|U0qh?Zmu zOqpczJjoQ8GRfq5k~uPElF9QpMGu)_lBvcE9=?-tW@eJf^Ttdv)+fji6aLAfG-Z;B zd@?i1cz#ToWHPSIOfuFFQzjYffhm(r`pL{Blkt&^ktvgm`!QvbaX+R^GVaHeNyhz{ zGRe3fQzjYrW6C6BIb>#%i9VY$$yh&3nPe;nQzn^=55uQKnPk!rIY}UIe1^Z1@sX1R z@{(UsCYkV)nMpQ2Px>JlL>_T$!0<@_c3{naGX!A05#H@+vmSD3gAO9+)!8 zg#XM;GU+EXlT7*{sT1-l9mo`u-;vBAyr5E8Cz+XKvQABzWb%AwCYkh;nMua|m@>(j zA5$h7%Z(H~H)WEEy)tEzaX+}GG-M_-Gs&2LQzjYfjVY6i=hKu)#{6Vvl1au8m(hfF zGc(C#T$!0<(obe4ne;<4hPaFz{GMbCaTzr9o&1hu3{9D2!cS%<8OzO-NhWg3%p?=J z5k0_VSfKsPOfu<**k4=*1OATvCVUb(WM-0y9E_P{q6el-G8tcHCYg*cGm}ilNAv+- zBZdCR$r8Q>iN2HH5j(EW%p_y^nKH?Ee5On?9$#iAndpNllZ?k_$|U1{F!COP5n}I6 znPeaf=sW3$=mSZmLHvpR1=*;>ck-NZ8{pwP0EI39+JJRT1u^a2hC|HK zdAJ883)=?z_^W!VRe-<{mb*rc{!c}Wi||dOA|^rjrd1goHhNH}BGW4TO%G8Ao=xGa z0ls2o5x&~1G~_f$`04;(MSpcvX^6yyzdFHJtO)2U=zxZ-H{q)WzKZ^;g{VZ3a&Kqn zJeho>c_w_f_VV!x06wev`VH_v<5r`%OJ(|sc1aMwwsrIIU>_qhVtQH)n->*XZ(^#p zx{6p?F;!PxMFKW4RS#6G8DgrTx{8EzVyZn*vDS*I4%I=vSP8^rM?_|yb^!vB>HN!249j za9I2_Vv$%^F&VK)f-Nx_Sk#hJco7)@X_=v{m@Kf!=ozGaGYyFNX<*W5PsC*4(r8}9 zWZ)9eXy!8p(4$P4f%s|Q(s(K>CIgqoLvk@0xHO&#ipX$m1_3(LCE!$m%*+{7PRl&7 z7B>RpHsU+PWEeMo4SYwDVNgTOE_m8pg0S#_OhP_WBN`~Pr6Pg2n2Od7qf;8F^aL|( zK5Q_dh-|(RU`>O~VIPK3lSn|^Aqbn8a4{8RO-#9%3JemHE}}yHAfss)Q;}UDCSFWN z{1H@?{5zxFoxB@kkJtBp4Hs;b;fQ%(&qo3dlG#5G9zy|oCh*9Zn(q;WMC0f>5ze2BKEiCj0Pz6Y zMle+1k?|K=sCuPh+y!Ltfoi@a0qO-*M$#ziVSEK_DS*n17mn~$jHf^+MC2?-6iTAA zE)`?qA@Bey+b)ckG1(Ay0F{vgieDJZ46z7A@{G9Ic;10H83>nzdu(l3vs{@%4k&s7WZJm%uVT~GG(S{umGZMHH4rFE|C}M$a zkof>n#G+xX7m8S58^k<~xMb}SidZxR94T7YaQhd?%t(Yh3PEOzo<^W1X0AIVKxB}@c^0G1VF@t z$c$E@h(*Ih`*1%4k(rU8hy^wX=Cgw$77Y{DL&O7Qa(*C^30xZkGCLB)B@@=e`5huN zz6C`r8YZlVhzF4w^+6GfhPB5iV$rY$8S2IZnbB{kybfeWtDw|7kj)1OF$^M`iwa^G zL^fZ55W^s{`M42;7Pj){mJ&i6L^dBFgf@t5K0pX<5ZQb#A+$kcb|gsNj3I}p1jvld zhNuLQ&F2!X%OEl{5-2bWWM(8n)`JO;Ay`3AGcpmf9*pIN8#wSZ6RSe_fym4>Ag`@q z3^Ifth-~f^;PwuLuuQHRq7p=Ara?$pFj*#uO3>2`^FqRcvB(g9pr;w;g%ku6eZpZc zJk6xX;r0+BGXoTo3QU*@cZ<-|jHr;Sh2YF=&Fh4G024UE1tfTyvB;2^L}W%GgpEIA zk>S=7dYVy9VdGEm9wvK&Q2CGyVHSz7XJ@RY4tYT1bvjWac+bu#GV*}NOK{?+(Eu6G z4Y#HsaAap5c|hY0GjSszSmVVqF&R|Tc%Mv6hAB2)BNLNBHFZq#3GQbhGBcOR0~(P5 zqDGJhGy(<0WZ=?x15HduTrv&@u6`jhBSExhH-ZktjUXs7> zK;soSQQOD^f_|E7Eb@RlCMN{|0z_ukJo11##!Kmt2Q=QQ6L*QYWSo}{c|ap#Li{x1 zl5t)DRX}8B(vSz#F&hsZ@_@$sd!n|H2LvTIXC8S#9pmbB$O9TL{fQevTrwUIZrdR; zvxZK!9H$vN=0&uMfzKW7G?KcIKo4FdOJ;b2|u^ z0TJ1p9lfw;XEIoDWe`1WZodKlfym~Q1{Vtv*<1(Vo*^Qe8&h~IJt8w)3VU|O>B8ki zc$#s#@G5phX1Emg?2Io4JPLZ+e5C0#m>nZ}Z#|HiNkcn! zBaBMi2x5l`ThPnefy}5Tia7B5=*7pRa6cB28P!A)hw+ZDs7n-a;Fqw|jUaZI*hh~x z?#7G3;zkgcOwtU!NgK$_T%xeWc$-+%2nt*9>$a(pps>Y=6cay@WwN@J=Q~W)>jYp&M^EiyJ}gFgsm%5fLIY3lPO8_ydf=W z8=WZ_FHDQcXwsPY1ie%h$jnMZJ9OhMYjGopOC~;nYt4wvOd5($j90TojiC6%h_e%u z5tmGS0#~CEnNdv?pWt_FQZhmDi4m(OZX0pQ9HqfKJ`kCiG_*rEUKJNNg1BVD7W8gI zATyJO;u9k(P}B&DPmI^i#bm@K6Se><56Dc|f?g^IWX8;(_yoT2udS4lk&3yxU;}?+4=Mud$3xJO13lP1e3&`eN z0@4tX%{3OiC<@5tbBW$e1!Qw$3h+ckHs=z(9SO+ha|yM$5t-o<#V5uq{UVv5_=LQ# zNR(qn?;wH;{S22VJ~5&<#f=~?nP>*8E+aC-CCV%qiwB4sL0mG?40_uPkQpvf*kY_W zAZi4KE#!49Vs=p2f?w()RyM$C0-1?spa1|OGjoZ;79#{z+$G|YiDsZ`03tJUiNY2m zZdKd};*yDGpws{&GjoZ;7W~c%iX9ku zEG8o^ncO-6dJ&m1Gw5`}2u2n+g1BU&89)IeGGk^?kYhwJiyJ{)GI<{;8imM=F-1X+ z5%w%<1f5P8>uHF|h)X6Dk7~XGnc)(}KE@&(;zkgcOx_;u)*&*(C5nBFl{>_ZATAlG z4*;SOnc)(}KE`q%qDD~cgG+!?iv-0!Mku%VX~ZQHMkZDE}3HpR5lFAjQdAH4z7$QmI=D-XuO*! zGGX?D>1A>=s3-$GZ9bQ%76g#Z%?zqs0c3NHwMPkK<4sW$JNAMxWuh5-!I(19j6FKt zHC{6nH-fli!WMhMm@;7ty4Va)GXq2?yapydu@{Uf6Q9@%=8;LO*bC;72}BTv9!~NF zt)hVVNVN$N-^FVH;Oh-Iq7XlX1Wa90=oBzK&|SEs(9zEiE?Bz2#H0N=lorXnzR=bq zIKahYq)Hxex9fuPq+bql|7GL8@J)! zEqw?2p$oR1M-KH+Wm53pJO-#>m>$ry9X(!Hi)gUoK9ONEUPtio^$ZxKfebi6xANFE z^BNN1F`@81BLMw)qbXfX_ zreWE~J03eG*0FtjW=1vNx~nU$o%{B4=fIrDXT*(p_aQpw-RyVYE=FD7{APKNwkzrc zw!J?qYZj}TSqt`izjyAQDyiYmt>*aF@>$W{VYk=D>-+PmzIj;PZS%o)`L(L`{(PS0 z@`2qy+I_I?QL?r3=QAa(o^`d_5a@RIQ1Q1jZ@tadeuCBeP5#ff4a!#f#jbjllAhkP zw(XPF`sN#*RkL-b%|_4L|}$H9@V8BZqp-`KlZ_cUUcZQ&2c zs`%UXY9F!YOM!7unv`|uG`UZ?CWNmFIeg-r zOWV;sf~RIp9(ysNyl2lXG2O=2*m>~zyjQh~#T@x@ZN{pQI#)ci2RbFbYP(;R%kSEs z!-{MPSk`%#jpzFQ2{x`R-fU@NGp)t#O7FYd1aE9trtlxB&K08;EN*meL5KC7+zYo| zTi}Vy=#rjCPo`yLB=0}!_vlC8F%Ksnm^%AHf4hrM-|3FGA9M4=XO9Grl!7UZQyRa_ z_NC01reB(R%=|j;>x!={e3R-&^?i{&s^8_(_N6||3|bQ6d1>FRloes`X5T2Z?9%=l zBQ8W2dKkBSSuf|ChPSQaT;p8rmTB6=-C5G7>7VBu=Dn=AeA$$w(kB*_$ycf2ggTqT zQifL59}nnt_x4cRT9fTvZHhO}S@(GG-v>`l={fVDyQ6Qm)_-rFGCJRdhW9?WjjZqc zeqP4RpgzMN#I!gTGxAB6Gkaf!u6kSf;)GpUU;3`^ayB|6%f*_tij|*yyTrhci)!wy zaQf=(kA*HA8(R4Ew?FpVlz1Hf@@voC>6ZdV9qE<*;?>p%omRwTzgBoxNP+*+Yz{!0 z_0YPWp0;h=l~N#ZnO<;^upxK>4&}Kj;+2a0o1Dg+5<+3(b~XS2(6S}wBuKb zPM`&Fj9h97b7T1c4H+j<%Di=!36-q8BU|Yr3hVIES<|mV`4~kDM3ZWk?3BJpJ1Sb> zMTKSx+DHXkI)QX7SZT%_c;xm&+P(}_^;VRWydjkVed}VUUq1G~_y#qgs1>d^;%r~( z4MFpNF`^IhRB1)f(gz1iD7ZCzqfFl*w!(a11$2Ws&_vcv@Af_-iJa+0u-M?t^xrS3 zgB!`xwhX-IM3|fqeMw!$9--+^9Xlfqyx}Yc;TRj>q)ZKGfVM3LFr>fKfqY!wT*zGR z8Cv_IwxABRq~3dT!Y@8BY=t;a$~~jiD?@HqSGcSg{t0sm5aHvQ{+^sp_&7H5uFA<(VrO z2$JyAvu7bgfi*NTF@N&aj$S!(U9wdUTQgxja;e0VD&&EHMZ>PPf*rh7J23L|*lIIQ zhMws6X90m$-w~iK=f)tzw>UQKo~2+P>~(gSB?a#)ZCGpbmRSt(Z^j%ruHPOOOxfyk7iQSzF1FCO3F|*LwFb7Z z(DlAJ_PBhvG&US;B6t?7wYH5&q^_SN$b`&PvGjo+Fw&5`aPM!U5lLcf zE8!SX;L%gyIW4f|V%ao>H4M586g#}iI^H84`<4%*y-l%&JvJoU<}0R5h9B6B0?bJj zOgn5$SbBU|X)~+KdiqXF-mfr7-P_vowC!7dkNcuk{$+HTzvXcF$vg)we-E+P3!!Yx z2ry!AEph+L@YSSU;j=d0;Y4+fu}ES(=M-TI(S;U#f0;N&vuGR@>HaErEX2!CmnVP! z8>uQ*OKY@PzmX5+-Oi!|)%ll#>peu_{yb&FBgMgBVd;r@Rtmq3)5!Gi7`3TV*hMp& z?JEB28a&jwRw%b@+{RFs>zu1n4t?F$>C8~>r z6xJzXbW_W)0oIG-qZdtCpYpg7HaWV>6Op(|oShzOw5zPn1!pA>ij$HXgi;_IU|0~6 z9sm`NpHXrn1gSv)l`FLFucsC6-M-)`*i!${LpAlKK?7`u=aBI4vy~kf>ph6xC#{e=EhTO4;6I?m^y>)FQQtUz}q5pKd|o=Nt53 z*5iOPEm+=c?~R&hGxl0<8Dc15b#c6(5sK(=r8TUwn|?a-77 zmdiKL1knHze9mvWr}<{5>RU8T!RFJG?AVntxUhqW%V6?d%ERfGKN zJuU0P+oSWIi$Uvci^R|laqnPUD32!O%K+Xhau--FGUQ)+(&$5;h9qlZ*Mu(?KyL|u zScO~gYvUUE5&1K@4*($L6Wh{&U!5hbk9+^zItSXhH+u``1-;YHXh=FZM&!sIp7?cW zRZ+<4#AH+0I_G&tJV3JNv4>eNghQJ{-$Uj@phIe-a7+=7{6cCg!;|1f{N{EKn}o~U zk{jEzY1jr+ysztqwLP%16B@gVFXL19g?QST6WCovfITjIh5#;-L4zUT& zQ%5S5IG3)JeIc{%W%X`LoIekLzV`GbfaEZ5v{R-{D-3#TfNzg^p6WOCig+7d+yV8IM1+Xrno3a)YfR*x+L28|fQ}q{4Az*tJ$q9aZy0kIr!2rI zc0rRXdL|$G)T6^+SJ?HSGqp!(r}$=Gn8Ps^0j*#F!JvylnnPMW;Ey_Gm1ysmhZjDI zF8VeS98@lJsQogJVN07-mq?dNm)<6A4`DW?PS_3a!lGjBV~CRw7opNRz1almz56}z zJwJ*DW(5mXW>RbN%@SLJWQ6@;|00hZ{&m0gJAre=1;oQkLl^{FmOIL-0oHZIO`e#< zZbzC1Z_Ko)cR`@SLRm#|Fc|XG1gQqN?Gjr9EDiYO zanCXdCu1JY-yFZ&WU}B-K0RYIl>7DeB8PMeBtK!#OKsag)gBFA(?0Qhh!pRwmTZ4p z7IK!E)QGwFBBiZZ^?SF#y%fT;j)C4^)MbvHrm0R84oUAqd_w3+cq$Z#6_W9$sncwe z`Xp4zpdG*s>VU!9=kCF5GUgT}@dUf4aAUi6;5kcTUZ0CS+Mf&-mp#?UjU>8~)Jo`P zX+zZ#UC9|EyoEdM#+-^pSh`{ET002gb-GN*yt^`+hdD>&GAoemD$cMaNK%Gv|jpydQG4#oCN zYH=h=J$5QGYxq}d$82^2zdR_lvB%`)aA1G`xy5Z#A;>i&e)et)P>Z9Z zNsra+gyAp$E7p>?dfHF>hRP*uP4vcPhVSszokx#<%J0rYk*eM zhgZ%wtw#P1`kWzE#gKIzyNRnobuGhe$dh^xlE+9&OTrODhnCyJOdPt0pW}-I*EFb*h=O zVl4S~oFE4SX#g3c-~szp?79bNhysBwLXB8rfioI!7DSZQdGz<4lT3|!kT7C7|MYl^ zj4*>Kvo7Z4?z1+nr14*e zAd7@dogPvR<_|Mq*r&#>-zHd(;y^?F0|pJ(f7H~V0Oy9Hf%mVb!r#sIkK%$mF+kB&Kd$2ZNWXU zz&jh(ZkN~VwhP{EIM9HPY3OhiRZBi{H&%1E`G}Y=){hLY%KdCQH{t9#FLxyl)~fWI z4=P)Yx>cV3*4qIeTjOOJGWb~vvDbMA0{#J<4Osxq-A``m0HhT?aB{pCwC-OM+_$V8 z2wOF?P6XkQf7tK=oG6c9ozJjc-aHrGUEa9*fAMmmyKK=lBT6@1_pR&=yLD2JM05>5 z0HN@uX{njxVw}5-=itV&yCbHKKuk<)R2Kw;z?d3*W4G?NAd|>Mg7AD9F*gq^=V+n)z-Uy--;AN8_d63aHn7eDB_0yO(CYwt=YcS_>f0$ z{lDMqkT3b9OX#DNXs{FDKabxJjhNxbHVB|`D+$L6v{!N<>#jB>ARL7SAEg!LRLP9N zZks{t@?{?KE(Lz!q_cb}^wvMNicT3>7dUB8cTt zAcaYy6On`TG4#aK#yeTj;6nQY+XWc$ZjCG_cMk!Q;ekd1D}!J$r3^_a4Js}I7KBM7 z5&2DRe*cDr$UROithO_5ys)sNt`6ZdZL(6JwASF0fumhXaeo&p?r9)TaB4I#UwFP$ zXed9>;PjRWLz4}ox_*hd3?c&T-~v19NwkJB5F9>)W(rlcu#w4Tf}*Auz?+@}v@XMD zpY~f`2lfc2z5}PiiaNi8DTGb&oDvr%aPn@N#_awyU0zogPH-wYYJ@U}*NSFUuQbQc zSAeY#EXD;b3NJbZgD3_MtZ+0sXr7o_&IcJw6Ygm678Yjk-SKD1U@%0G?s$SMZ+ANP z?=Ny!C|{v;;08_xFOEDtsDqLEXC|P(cemg}K)dxcXw|AY%?^+c;o4`h(|yZG&`gNqh%nPeo5p7=XRT@g359f;8g% z8L*iU!3dxY5E>9e2>ckp1I7<17W)t3+msv;js-Cc_zsDp?A3p{LqHSa8z71#j1oYB zo|3}v*I`5;z=co@AYla6p+q3U?bX3ZC5S7KNg z;KbZ&VE`pVR2pCm#LEZUqYT*PfrSt+&4IszxlWE9A~yAk6XFaS;4Ts1Kw}Mfl!>~5 zg&ye3+%rh|>fHD0|Bez}`}G7dduUIT7hKvXZ zm4q;}=Rn3n{Dvwau>O~eS%+CfnAyLjNsGC)PD}rU`YO{SHQ|kLJ@5*m-uoR?$`3nUA}N)&{e;jH3&zM$m<}LjF>i>q5V725&v+ z;xoB-qtBhP3EfK61#c_t#y`+o@ZuqUFZ}3rJ@go8k3JBp4?Ylz=mQZe06rkTXB))5 z*9viP%N^9oaT(;9h@W@jfj_Wi?|bj<7B$Fw>lqX~7zok1M;OF=%P`Olu@gwXix?y~ zPzaG5;C4@cD#`T9aw)V1oeh!ePZu;hU>h_`=!KpW$_t_AH;UK;u?3;Ge`|6o*#lhL zryJxOM2^q{B}cj=c9YP*x(g5S10zT5h3kQM9cYHo12jvv6TrU58D!W0FwVYb;|8?H zjbr$)&~JJ|FL+(SSH4fgJ#dH}KlnYBAm3nq!cPcY>FdB=hgZg~7<>Lp;a68T;647` z>BEa#$WZWI+MwKlzYzR^u84Stw|`!x?`Lm8yFzcJ-T2?IJSOo8KC$>j+#tRqZhLo$ z|9$+~LB#frLi7s0lE0!o6Mw>e=XjyU43I9g5(l^ zV)h8Q{q7N21J@&zA@Bn2KCVUl7BBrt0Ps&Zzbn)%#(qZveqt^jZ@xuKeJ7pYTebg3 z*#F6(^ZWmopMu3$`)r@Ii^oCjQv74CFNW2#&~^a-l=Hhm%_97tY@btpuCE`7Qr~;u z>cyWK=l7j?YpMTBbpFWcR@K-JBeZ&HOd{s*E54gTCR?kM; z0Q@7)?~MPt^YyRP58z)t_}Sn8^XOUyoecn<7oe7Efc6yj5SLVd_TuR{spoX9knlpX zF(}j#!5E$N5d@I&t`%iWG}%X7$YZ&;<{NU1>B&M;AMW=vCpL0X@PtGaVusl*&tA^V z`O>O;k!-h%vi?{ZRrGpPoy$hkSfd- zhKr#aZXk#>;&or#5P|+VUR5V}nSHFiYbmRK^)j?;KNpO08!S!GuKyCX^-Y80_U49( zLy|?a>Yl0jkN_c_Opq=NN@h3Scnx^ODQxzzFb6bt$Kx>*^}b!dpk2Sebw3?90#eQ@ z1H&h+4jjAHcLX3Zdhx5HktIdSNu%2&dMub#AP95-i3bIEKr^b5F(;H!>%4uyi>Gd# zqnR$UxeE8AQt%P0KlsE*pbT}>Z67pxdGmKLE@~ zP!_PDfd;`xXrA?k-RT6z))SqrsLXw-P9xWMpOiP6y!?(XxUS ze8w?-HkR@$CY~TQ^)@8M$xyhN&7dkSd_+iqLvKuIk&s{sdYz|7uMHc1+|S-j&BrwF z1#(Xe;0$bq(utppRIQ*x_D9368h{bp?69Y)?PukFqSbjHnk;D3Bmb)ygW}!|w`9d3 zeRURG@%8>@jy>Zn%BBV>M8rub}VvYa^KgyLs0&$40%r+t+2M zPff1xKUDt~v3I$w;EwU#_lC?nB^z7jetaOMRBqmEz6MGj{+ulv)H~mmpb?qC&fuq4 zlqUn-sGFVH>5%~Y)%5_xsE=pq_%xYx?nP8e5wd@m(?DW8U~S8!+5J)D9Y)g&=p8-k z52H`WbAdB@o}AI;a9e84P$GcPJmw0&a?H zy$(chcre&O)sAwds;JfHy{xq^iYDUA-E!3+8y--IYI3XCTV>d8Br>DCwGmC2 z3x+16HW-nhRQ*@hkRAQDq|~aRI;cU*B{Ca{`4E(U7@^#VD}enE(MnBs+iC{-DAf}4 z5&vO=QZv2)^*swD8&;0A*%p|QYyY6NeLvLC=5lg2*NRfK=FS)08!*W z&l!wLStVg03PoL7NA$n`2t~Druabcw;!C0)pYoY_*az@`TC_I%KXK8ToZ1c2^Ky?L z$w!eIY-b9g@j5`51vJl-Uzf>y|&7rx8bDfZzTmZQD z$^1kF#_?~_b4ox(?TgBLdOF-Ea0v3l{kf^<5DhjmIMS5nAF8-BHd>15ap z-E)LV#+YD0F&Z00*fkLi+bKFK%Jf1K1`&o3j&ttkKMLF`vE*G6xxVn|2jNJPV$}A6|(AUiHYH_05HpoFsMW@fR;0+I37%7s8c^30K&Z{lqH&n@fY^T2G%2fQyWu9iG2y& zA`+`TaZXIn;JY=x(+ShYKCN12_Ts^JDL&wc#E0HYZKbs5ZV|nI2C;>Ofz#I7tTw!3 z<+zsZik#^?>bHH5JBDZimjb4aga=UtAplQAcgeaz?e7qhnK znIWoWwinZ7$2aue-S%C%0jy$e-ru2Q88YBCXSB)Qk5%y5mTG)cseiUHY zMSCYzSM*$?3s_-^$?PO1~7FK;6BMtup&!Z*t=u65v9nkxKJ zid_?>)%HeBm!N>B7Gs?%6jhTh9d-$Kr` zl{E(5%MCr28hWgnus2qhhGZ|%vYpBpTRg{?%A2CF9!YyEQSuMwt<5$l$fr4ogf|;e z-9&NVeF!!&*n@=!WH40~Z7ppnDtmlJDyGk&=4Vtl8;JQ)-G}~8$*7Swd-HR%n)(v3 zcM+7ZcXLe|vNFp18(Dg~ji{G<%eokyZE!yZKBVxka6>_;y{}cD#b(PDD%2S2s5(G% z2=o~%2>4u};sq3IDpoqoDX`b{$RN5c(xupcvoD7yDBuFBjJN8QlSJYJu|6Oxgt!mk zf|=C*?dtrM)@Mp?0kwmEJ~%pC8UtHq9UauqSb)hvA(Lp4Wo|ecqQ8S2XQ%e#!HwUr~LB6Q;&&aFI{0u4bla zH*JBW1@p(JPy=sIwNqqYGW_FfsAsBbx5sMF^b!?bq8*6Z;mS9a@8hb);ciD%fFw(j zWNt_RFSS~I2?qZhH!=LFP%Pq_x~{cd!(!o(_YO)^b>EB^#xT45>NwR^F`W01wN!hxI;TmWAvSn1%hL z;>Clo6sjTs#PM4z{K9)-m7jCncXAii`W@ep@8npYRv1If*gdUm^$LX|`9V^iD!Hsl z$@_|Mq#tdh<=jaAPua1&r4Ps;wRO-Cd78S{e&_f4OvuMDGbxYl9?mqCv`9S9g0&=p zU?Z*Adb)L=NU>Ll%&=5`ruB-GBk2!gdszEZhF~HcyyCtRSJ<6;ganu9-CfR7Gu=u@6Hsm<%~FWK47f03Q2h>mPsWk zmWGXLDto<3U1@{~xrs!GQjoGFNX{Y>kM)RAGBOIfN!!Q1#LlUzm6P)Khg5PoofEtY z&+gRXigsIN_SbD)zw44ctO&FI5=>({;3my7#)nJ_N!7r-pRU(zOn&;L_Bi2Swx$l{ z`(@R-OP{QX)z>TT+u=BCtZYtja_t;Gyo>HqAP1y`i!;s#BS~aHIC#x zMPY;l<2$lk>bq!Ci=?PS;i|)qLjM?Rd>?1O)#6L>B&{Vv_((n;&KBK*tiQfz)02F& z*mS%V{Js9L_a2qYX7&=Ts#)r$0T)s_IZT0QP0ChpU&zdo#nXLQd=?k>*-{*s8ly-cQ4{P%G4a2x3FQL2+2 zv>kR2x|4gyZzKQmIgL|Y(&_~r(((x5J2xCWIYL^bdy-;-4wa1(Pf@pig5|jJw%h$VxnPvk9 zyRvW6%#tP43;76FxabGUnD35KtihNoKnW*UIW$MDe3z2KRvtO+YiQ9vQ!p{8POv2&6UUVP-s_9*~ zoeE|+ti;ogIUA#C2-$8s0J?nM-szF~Nqauu(a5IR^TBe6uRy7(YMnLR{gw0h2O9;n z^^+oaRB{}yO<#ywm5(qp>In~2&(H!<>W=cGuj)=Tsw(Ikln9}WqGk|`8W(V`2$5it zL(Ioa;f3ZjEsIxdJBq0}NO-kM>d7^=Qg9>b4xp-QJ3_2%%{9a{aq^jB|4s)beffvu zz#(Eo?NUVSr{a&p?}#+w*>zWSHn%zM4N)I|*WA!5-p<=mjZW9p^yqJtjTUvMzs-`t zeZSRHa~-pyfDW0-DW_dA>$%qVl&= zN;AcPMq=gMvgSvJ5U(X)7hCY;WsC5NH>d5zZRg3_T8(%u+6Y!;rv2v2cm*Dz(UOiK5pa?9i$jVbuza*@EshZ1khfof97i9HUOWB0L|iDB!-Q-T1ff*5V(YPpAG z>G>m-$C9RUe0Bs8bOA=vBS3QD!a0E80yH}h3qcD>6bAzXrlKHX%gLvd1u!hpNd28x zz9b^M#1NN0Lihk+TpVpXleK|x5wYJDjwuLvPzD0y0qs^moE6NG*AQw}t(=91QN2l6 z-@)WBfyR5)*>LNbQLVN@vdl(e?Gzp~ATM1fOYCt#EcnSb~ zwoTZV^0uDakLxfjhZGaB{pU4^!C;@UaK?M+BI!{xdCM^v-J&FT00+()xU39wnpR}A z*2NNGyZpm0)RcM5x@QV3HDZ?E zV!@K(VHt4B3is5?7-OF960-t=00zkPwNx~u6{|64^5<4PkH?cceg=!J7)2yg?(k0y z<_i#wCrCV%rb3wgHUB#S{RU4}=mVcxRTdi$844w36m%7NN)?c7iE=pRf)I{^kSD|R z&khk1oS5T9nCKAIz&TTM_BlA=TK^K4+zM&-iPcda8%-It5wrQozhupXYS~%hEE7vG z5wG=5G19#J2S9@%{ZZxEbl!ZVRJ;Uvu!YRxhL#9G*iQ#sN;1m`wYZ5=ZX!_r4YG^DV56r_AUK_T++my`R4OR+s5}z{ zSPEiujwVRUNsVVRq9;X|6Czxy?XvC??#VrJ{H5T@_~nE`&`FRJSSE${49V*=dOj2R zmXeeK=FD}&rXlbFS0oadVZ?W-Jvs~9$io9#{L9dCIb}xVV$3vA6bxfmu}B#r^hn7)>1W<2+cU96la@jw?6w5}J%-Mw8gA&hp5mcxq%T zWI0j~B&+ebS(mH#!@^Pg9l4$D-tKB7+z*;%=X22HT*v8lz_ z$;48VcYRK0!~KAK&RrKy6BpeOZ`^eyf0-$ZnI6%~=K-COvEKDcf~ots|}qD`Hz> zJ1QCtGgnKPw8s|5D#uU9nNz*2(#Lt@r!47FXoZu94kw&dfQ9o*d&N@Z0KySE<1A?9 zKkV*`k$&S5p~HVMwVB^VASXn;w}dG;}-9b z|Ft={AMgjfdZvTwA|-Af&(uuCg?9iF%vhj()`?CNke_6M7`E<)%dVsQLdZ4qEI)MQ1W97d7`)Pet(Hj)|eIpU`}gk+PvD=>82@m8LJJ@ zjk@D->!-E@PnM3X^pVK|ajgPy#k>1cAQoW7ib(t(&av32Dg^_Vu#$Z_E?gDPF}x^- z7pcW?hjvPc{tzcQAhdn&C`9X-ZQ?X(BQkm6a^F_-!O1cD# z916upq*d%FeT~rxE#=B%Lx3)MT`pE#l`I4M0^0_lRWbg3sV8)?lBw7NeOP6W%~p>! zpdR`huE<%Dl^ykVw@e?6?u~;{p^J=B((C#4E?4~SM~qBj(LtGs(bZpI>DrjP1`IF% zYQyR0R=xI}&ONntDs>{_QVnlQ`t1IfGhraf%6g_(fSOQNQ1m359;x;%{=Y`ARO#%1AavY$UKXZF@AxGCxY**VS;2`LEGczV=Wa=$NcW7rE9TL8Cohyk$uZsn9fb1(H zo(E`&-d_#}^P=|;r9rE~?}>2X%?u9TSv;477&#w0I5crVjEONOoA`Y-=3<&wU!jq< zsXKRkP5zd%koR-%-U+=^ZuXywPi!yt_nU_o5^Y*8j+DwH6oOlm;gsW?vEue84H?(u4BGm54UmQ^jk_xR z#-XYz!6FPs&qvk3y{?OP z7ICa=a=?H=pYjqe@1)2!R0k`MwbsGUi!Fd%T^^2CNZxsBJPiqZFXze%c~YC$SY0f#Y&?KRsqj#Wtpqok2n$!N-!PGDlA4I}LbKsO8&26G(N7y;ao+U%_<~6%o zxU9cJZ`H2<-UL<4FLgOA8R{R34JQtVpRw!-MBCzSw;2`oyzWW7dcov)!PkRraz=#qQ}u|icWWf=;*ucj#VR2Yv?M}4FSN=`sXN>d*|$*?9f^$kICeE*bfF14-FBEyTo z<3%w^)uaV4DCee)QtAM4&*&K1S7dEcG!z<@aY7Mwkl3ew=o*it*KzeZ5JGnli|u(9 z-KXB?d%P@tylAjkto6Mu=bq8jybm>#y1zElH0HXu1!&r~SPnm%wrlKI%SleU zphO>V>1erlvf+`ys`V9m2`c|vTLEB-$st_5AUEUJ-ypuZ z>n-wKf35lEJ#aVzPo!K-I9rexZewYrHFe;<^4KD6Yhy89y!E#wR?=g`cG=uYQZp(z7!uZg=;!+ExB&GdwXgLS6XbFbzJ zgeA2p3MnV>a(h}l$5=D|MN(u)z{BU`0DO8fO>GEQ<>KoL6AlsGXcD_J+Fb0}h zh0SaVx`?g2`71X+dpE?k+PX|4VknQ*+zRb)cLEjPRWV&_G}o1F=DGn;<$Avl_1;oB z8gROe1Xh_pZICV*TVy85*3fjr`XxE4_!>P84xqcHODwyJts+2EpSFIwML~-lku`BW zUM{;ae{k!f#Fh-1%V_HAq3SF@lCasdOmRK69hugg;T_Hoy%q9LKf@A18CBzMB3~%Z z(WW8Y1BEtq+k}pRa;?D)u1af-W+RdBR9QT#T~9iPTWH#j+w!|b`>a-F35%E0zJmeJ z&PO<|B5}ybR(j#iHq9R}tG&(BZD5#NUdgiz*J($^{ ze5Mtr71wo5JZ)l&7-|AMKk?9(q72(uD?*SDX=6ux4aRcrx z#txA7+%y{~xy;qTa@7 z)ZB-7NPH*Hq4=HGxP}DZ;H7c!uRe#U~0&0Tr-;}K=0P&t@h zv_kES^Q9|A7+AdS%({caiF$vv7R46s&40-Iv6XVghj~S0vR>T-(taMm+p;p}E;6QV zr}wx`UwoHL8t$;=uN3thWDu(Ygkw(8Cb*yEF7#HqD}DrObIF>ZmWte*pYCgyB8@F# zuZ`~F+=M=LQ^+V2mxAw$_m}st_Hh?%?rkP)D)<5SBMym*C1@F0!(bD>53{>; z$;S!=kxZTiv$xtVK)bgITo$2OdzA}RK~V~57!-v0EWnZafIgyFdjXkgpFLY1*6HY^ z6BGQSB{kBP73-|rofHos zJ9_`}Sq@R!Nw2ayoQH+7;h`VBnGefZBfU=M!Pd0JV&N^)4&`bap?pw3UG;$TzTcEj zk~lVOzrQL&zK43NG(EBxa@QC2m{)#}{Z*hA84JUs5IIM?gl?{zC+&=8BrF-}s<+3* zt&DkE362)bFS5_5X|C(G7vH-%{7C+YiXD%|JP7`PdO^>~uPdrUq|TxHY?G&3`b@9K znreNJl7tM8;Eyby26Q9sZzw5Ov1ySIGc)rSh|f2wKU}fdsESrb(})V5mpo+*=f>wI zhnxJ%s9KSY4>fGLzr7)vIyn6nc_pl>G^l4o8O0S`3R8{D#}c+BL7NYWjY<~LjrGDo zq=^?O;w2Iw!Jv#LAvPRRyOnhAMUL+olQ@ewH*(v~m*Q)w3Kq?B-;8f|L>A! zz%#K-7r`Y&e}L!Nl)i1#8QWJ6@`XPh|NK7GB(gr!ag( zu1UvsG1nd5bC^;@FE?zJuy3w%w#+_)t|VbbGh0V#lw`w#F|SZaxB;V0!~PqNN5K|= zh0=aJGaha8q-ThbbybXyaaa)G`i~@pT(u5PiXo48-^ovt@)< z{lm)LSW@uif<3bowDQenvw3ou_a1lvp8AUPrCs2Xd$>4ndLc6^$f;|pBR_Ktp3{2YhCL=uvr<_jb~Vv)5Kxq20&{Aoa`MR9>7lD$}>N%UqgnFYQ4OL z_d};i+$Ix9*>}2($7xwkEQ#y@T~4o=Lw_z3;WwZ9fN@=UZhvLoqzr-Xvt`wyUOoJ6 z>L0{8z*)jWu8>iQQ5VZ**k;*QNWC)(iFtGTa`|998ihf9nHBJzv0+fBJ@)aPQ8j1N zy02EXEyMm^FU)i+!$M#1*wypm8W73McAYB4)-Nr@4WJ%B&T=_VEBCQn(F)W4K6U2} zA_F|K=&)m^u6bV#w5RH^-fTvKCnICW>hqWmy-E*mh`AE2AXY`A@w6 zp3`tH?qJIU!twt2=vY0}&R}pFT85|f zUVU~%+<>q0rM-fks7Kse4|Af;n>FjMlZ7sajUGeVwc5GbMs8{sDP=tYEPV%-jC?2` zEneHs)MK>GGYmBKJJ#!_eS8723gxg??apr}$5XRA`a8Q_R3{PIIws%h?f(vaSk@Ks zv9b#f55?}OqfrT6;I-l_$2`VMpxtE=jQ{|E`uOxbST#e9gYIQVnZ3%q*y?-1Uu|~u ztC@9Nw&uhO9yL!p+IMdSueeLd_GWpG;}s_0dcRDsC^EZtEX%1*y4Yij?JD)0T%BWc zyrqulK#4N~MW!&A3Akj<@MfH??Iu&e7n6uyB3J5Ks*s+OEW6PgEeZA7qWq0p2q%i?W=v zCtcaZp^i~x3Xj4YCBcrSTQ2SqRuUUP`)^rY7`<1s1C8(!=RJDXq*sR!h<@sCSG{A5 zxVA$iBAF0G>9lx=l$ilqM(n9ac(8w;%-71qE6bwX*QT??C#gmJt~7aod?3j@OkY`- zw$b=49?8F0c-_B&{P-`?nP?~1>`YQh8z@bS>@Jn?hMBxHHQTgOGrdF?F)vwDeuIpK z*1n`wL;;LYrCqSr+5PBUF)IkZ+Pg8I49?l`Wp#PZiP}HealCQM?(kA33dONaKx3JEE{S~ZGUsJXz=!f-4Mb1`x)PK;S(}!BPJXRH& znEql{4FK?3;FTSQNi8i-2l}@No`=1WU*wTGZzaYi!W5VAI#EbNC5G@fzkY(xlJxW~ zWjIVmhS`lqwV&{~L0?I@z0hMYx-SDQMACuIBz~Eho9*$yk_i8i1pQ7(8}OU*oA||r zapP<-m7SjF>vqW&KNcXU@+1}**;b}=d-3^>L&4T%%&@=BSJ2)Vz{o2BM*a%pE^i#x zZ$Ah&SFF5X%gc!At_Al02zv|QIGSZm+p?IMnI(&vnVFecvY44i%wRE-#gfHfF*7q+ z%*?#wbMCp{-Pry2p9sa2vMQ%5tE)4*Yigc1o00-$8X5aeQAH(Y3~N7EmW8z)4@@n>Zv$Gs7MVW;hkRv>2X$>b$XR8 z>epAv_)n@i3m*cru^|A>Nw!BRxI#I`RuORdj(^wFE|+x3A^ z$zz$V5fndu2z5?sZ-1L$#paz9nmpdy_tV3svb`nE{HI5`h`%A$&AcT4Y$%b%J&{TW z$uV=cT)kN4o<>%iO~-^~gG5Lv9=j^E+6|{s)^ELK`r9=X+xtA0_z(!CWYKIP1{{`d zhBoq_lRw?DV~nVfNR@w83lcIR1WH>aR})O;SxD|CU$-sPitEx<%~Z|Qu-gU1>_Au* z+7FxSr?(OdOq7j z376$AwlEq^YfcgsVyG*Ex!5eEDNU)8s95?Oy9rn$6&t!c8K+h*8Tpi`Qv@)Ds|F!c zA;$z2aZquqsiTWY`*I}3c0qt&qr63FP?;B2MssC_5=ul2Bx_~MoiuLAYT8B zhVQaB&fQvDI()qMRH^W|;YnwEfB2kczZX%fy;gFHbJ9Xf>)f=RmQ~}N*v+PRNVwws zW*uy9N-m+O1zRR*Zr}z_+uUW~`qQ6sK%jyP?~L$OQ7i-1(b)Ug(Rk(ln>Kpx`#gy0GzWl6Y-5<4DQ)0#dJG9(XOhq#QaYbGsI2uM1}NQ_zXHGhCN zLblY5DdU(r41$)6BJ!(=hIy6cHL2 z+SM&^Rw597ZEqGAesDJm9mq~o_~fM4{FJ?k zsZR5bMbxq{*~-b|qjQ!xSpN8~Jp=a%D|bh5_E)j@zwkEyt=;<<@$|m|dc^JRTtv;B zO`NP8T48j4Ac6>} zcK}o^W@KZdX9x11*n#T8fBOt%{g^QWkwpK(l>HAp(7%9(|3}3C_W37npyR*bS1=61 zM$Tq`Kkxq|eic_^mw)k!M5ILjHv0=NVg|+qL?YQsNQufBIS?^OnF5Ew%Ej|vW))9o z;2`{mb);-&VFf%Told(gQM({%4ba2~pE?asGwX0wt;c ztMnIn$;H7&&&b8`FC01}P-=;p9;gBWq%i(d0;*OsF#?Ya^ai$P{O2IR@;|NqXPbYl z9RKMvP)wDbo{Q_>QkVbK|6~21{{L;&`>P%W#9IBQ!$8m(Jy4$bU!c2x%Ky0jzit1F z*gqcsdpQ62|1+kXoLs;mVPs<0`ztpMBz*qk48(2e0J-7+t_E_n{?obt+kyV6{bx*o zXXQUWdcb)sssR+W`g_9vbGiNt%lTiE_x}a60$zXr6J@2RJZ2Zni1hmwoxdpz%7)R3 z43L~Cx-%Un{R#rcK&{M_LJaxQIVhrBmLiyujeS|UXrx3Sy&*^I(=4Jg)4wWDBeUz! z2>**kj~m~iM|DY-=H|1cMh=PFnJo_WzSu5|XbcNh0FOuB%^cC2E$f&GYO9iD?HJbk z>D#xkiV7xy`J^08v^_4B`L;~`@3-2I{lfNZ$)ndBtoB)l3fEk?#=R!7tP^>btga$W ziF)9Sm?z2LE{UTnL6;n;s{vuT)f z@pm(4jIw_A13|`Y#QHze>EFxY|B_n%J4gIY4#0%=|C3V0?0{ghzZu}a69=8-f3m5m z5iqj>)68GC+rQDRz-gjtuV!cU7iI<&a{Ct)?tjjhzre`<>eIhTXG}nRI8byKnDPD} zG&G>h8rT0eh0gxc&=yv{yk$A3u2@{0S(}fTQp7`pB?_X1oA!Pq;Q@d}fN7bkxDD{B zD@Ci9RjE6IEES|MYX#J*mMT}l4yapKs0j@;7R)adkeGHJX7O;qecx*S^y#{M^y_-u zXUvRpf zrNJ1Fx_E&Ue4zr@*lCyGLv(Yg9dkwWeqZ3P4*L3TOD& z)L$8V6{koE{JL5I&ox^fclAkY@&=Uwe$0M2UNT64dsEORVFugeZ?%8&PGJ3mz~}Q* zOQkTVy+u>lyGE^cB}9J_DlLEOSY=69Jqk^TWsRqO!0In*2#bMhS$XDZI= zYOU2+rtu^5Ba>LJXeWLAQ1gcO>Z>?olQ*xCn$>q6e#7^!qN$>>h4KEe#qD?fzSOvw zeXZ?N_|5@o4$&Oago$Tr?_qLRvJ4vHAKpdi-({(HQ?;Y#`;c<wxnz31(7O=40;+WKQox8pdsNI|&cfv!5}_z0n}V!{{F z>Er2*_1P@EYcR+6G6Cn0-La?UbmoC?Q2>kU#jDWE;kI8Z#KD2DK=K%eAne>b+M8ze zw*Lug(^?qvlW4_w6G)im5&?I=UT>VTIlaTD%R(gIjif=u<|2`~#Za zT3jSV!%WjVTUqH}2i>ybOe9TVq1%*PS$VRWnSIonMve%WhGb;UEorIZUkOW;9kH3Z zTQwtGfRCZP%JQJ&Lzik;b#U}zFcm=`oFt%(vAzt!3zGh0OcU|HeUyq?pBD7OxAV#Q;oF79Q4&iwl0gglRlxxm114t`d15#bN$$b;iyV@xh2HQUOSIu)lrmD@11 z{_rX219hoJt(6i=E@PaS)n`>St82j^ih-JSj}#9u&O6UG;L6Ev&DF~ihX>-Zr*M^BcC0h7e~as3BqP{qZ%5N z2s$I@Ad<{0ys70*A)^dpsx$#y8rxI>4Y(Oae4bw-@&SbU3)9itnrl$mPX%M40{@CQk@oC9x z*T<}^)w`P$h71{|UWJ`mG3KjgMqT!t9nNmm+2;dt!QFd09MaaUmSb`3AsUQFA!|Qz zL(CIQ876c3G5Q_Y5l9|m!-7o(3+gUqwCV`?+uNK7nc zGk)Ob@<9<)n$V?qF}QRi__PDbOcYEqL-ldyafSOTku0A0u|tF-e))%Y_k6ChP&-uj zVtULNulKCHh&Az?&-i*2>BUaebb9H&@U9Dj$pb8ZG}3NGZrD07woU#YQ$hjz8Nw8kA zrd6DzQZ0xC0b9th53JJa3Ayo0Bd;!C*>abKm(X2Exk#UtnZX^MNYv*)uh4wt@QN~I zDKO(h_P#r@JY%<};*r(F$64`Zi>FRA0780Uwwrexe11k&^y3y~7U}HAdEHSS z!<0C#*@LyI4+-_ie4P_=;mi)d3~}Mt6XKZWvBLDt&OvhtlB$Ka%NNBWWre*BS?ERY z-4GV{(DKmnP~ara>gAxp83@;;q8Lc#6wjioMkX{(NoAGsl6nzjA59(R7}>ZHa1D0t zb4hYZa-FaYJD=_-u@^e=!D#B6^vRZvg<66w1e`nYR3W|J#ofh8PSqRbFy@dH&(fLl zb%eUikXTjmCL@re{%J|;|K%5JS3r5BXvB*xQPOM7tw<;57NJm}LBkI_)DPUoAYeRV zZN}1?;zyjBAMC&r`lgRXR02r-Be%^s6M@*XB}RIkXmY2~OI8%L71>uL9uEE3XAeN% z!&#P>0Kw{-+X{8z4a}1dvntB+AvG1sLyeCt2Rv>=XbOKq48_MS^)Za_UK_XIe;Z!7 zLgtOtozZAhrBB${18>6p(zT7N`$o|o9GpPhJAq;-4Q83-bD6`sS*(N zCymC8lTjHK-V3mvJ~2q>AgGSV84yT{5+Z_+M~cgHY1gL0G&wQ|Q#QjGZNMMiXjej* zhuvlB4@-9DpB->XM#8=BfZPX!qcuQz`anqk5)e-1jdAN6Nc@pEFGO=#oI}mX^2)iM z*?~nru?hXINU)yOfg`j2m9QJ%(!S=j6^7gki|Y{IsgpH>AW_`nb%y-~B3t^B-`mfz z0kt18cjp!N4J)+CH}gp&4aRk4ts^gqIJ%ax9gXct-$RzN2Tu>?5V&uZomCD``&ZA(x#1grzZ+m*fqcRaz@pF>3nVnKk_tAk{R~$nBRJ!{1Eb}BVYa8 z)mZ5q^T-*p8TM{j&OU~jkhoiXj}7x%tq(rNkp9Ci!W~5H6#xQM$6`CRtl1}9e(@OW z%?Jf`jBY2@G@h(hGur3eXHfCo@7b$LwyF@qq}oSD|GDilmBIKqeA%Yt@(07OeRddH zbpo2q%t>)n36E!S-Ls74)T*WO#d?YPQ>|x=6rElM{6(tTo3R$!9 zAND77TSaEfe^91~aPCML2%R5O?3;DcCV6fpZyrZE4t_9Xt9A0#`1k-}zCWg%#=K?% z_Hnz98$N1%l19MJ%mgmFgUZIawCjLy5Gp?|I&F%=5liL~3epsYZ{a~U$O zsdSISoL1)b{~j6iv4%YqZS-m`#U{yF$&_csVkAA=6bo315O4%V}RykrbTW zksY@-^`a>?bK-mbu?_oRB0Y?%O)_qn4uJ z*)V>stEaA<(p|OW`+v4bhpzUuP$EHn@qT9i%0L!m7V5M=6bU@e2Qr-s(I0rQ%D^u) z0wYdqzf%G@LeYPuQaY36cvng?@fMp&lfj@uTaff< zc82ahpmC%As)^{m)DPu*YuO;&MC5ibD9@s{Amx#a#?@Cizj^UtILs3~J0l6GewxC_| z@hre#K>poxYJt5d|Jbv^db1fFO{r zsZ+=HEQEIBdhKzFLZOM}5-gAsgM}NW2YcfE{@l!)XcKt5Pad)M ze_Ft=HQ`u9C7v;2qs=8{TvW~P%wX5V8>9PoR^?4+9D70ZpTgO z)u0_g-d32DxZEE8G~htXo=7^-TiZ;nD$4)bVw{@;y!seF)b2dBJj;0;O5^rdr7SZG!%S}L$=3RYY z5QGUQd_6mh=W0db%725!8a*mXooCeVV<}TDDFP&f+ks6|H#uT`63o}!)!E_1F=!MV zZC~|};jMAWiHtftnJ}^UG`s!WCV3lfkhfFqDs)h)1)Vi3D}l2$VtQ@FdUU~0hFF35Y-Ypp%lFM1a!e3ZS#9econ|x8;t?=G; z(j4R}P=cDp6A%6xCsvTPkeTMon!#fH)t{O2#B+n2PnjH%cxDE>cwZNt9oyvBC!>n{ z1LxR42g{1n#5kN(_B-EQy!i554d3U$Rs-E$qwG4+!j6~vh>0R2ADqDRXDCkNGd>cxYP?8^tb`sLlcA5umCRhDl;%+w9w|Ek(ZHkt2)1v@j*RHS$5yStR?p5 zygtA&rhPh5@>X$FSc~X2ybcjd!oiZeH0LMcg*0fxjb;Mm)n)4jm`9pEEGc7iX1P(M zwo;--@}Stmx}8#tly#XH++2lG)%O$r)_JdJCCza=!5C0pW#83n6K#`K%^w7b1Q`V4 zr&O^}uqWN|8)v0!Vz#;JK*G8>u@ zKYLomI@K#7_7j5x-A$*Gww9eoFcFrswN>)j<^1N1i=#GNAZZ09^L?~Izo-~KG@fG8 zCxeORXT>B^5^+bPUhzT`inWPkrn;W_MciU&)l@tz)}FxT+d~hM#;F8dmHH}sg)POb z39U-^x5-9OfvS88{jW`X1e++mKjw5BpfMQrBbkZAjG6uPd9veo__zJmJg=2*8Nr=P zT_Cqj2MTU8G|M?naKxWJ*^x(N`q7{ne#>E(`zEZXj&|CnM@@a`F28bRa5X5QysI$< z`fk(Wj?p5Rr?3}$C5;>T+KFru^kVryP4R}dVyN<%FjqMr8loy~MQ7s<1>7u?P+S_oJC@1 zV!}Z+xgLqm!W-j#qf;0-9Jff>_-ej9p&^H0Lr;jWuI-@8y9ki742mO1z++w&gB!Os zaWiq2fsyFlJg|&fY#{FApg9}uUJu1cwr=sk#@|=rqYO9TgfdytK*cDcU?tNO9-s0b z87D7$FxT6Wh5DqEDT}!Ot!gJ~N?|4C@)kB6sT%54!IbElBbz>Q|5Y9hmzSCeBa9

d&eQ4(Q^u z;*b{h7%1v|uv8l4{2~$ha2Hr#?xfCP8t;%!2QYl}7q=o9l6HV`ex8XX*#=!d5B`Od zj;{c5BuPtjA62i}QB(dJWi2dBeF$SH4OETDQluSjo9~gn5G5#)=`Y~g%(ShrJ753` zT)31JN9iOAT;Sl|1k)x6vr{ltjM9*&L$d;tq98No0>LG7dW07rRx9*^+vRl{|B%V5 zXU)+9ylG?2k>-&blY#Cq*Li+QYvQfmSv=_^F1PZ9bf|nJv~&bQy}ai=tisQ+?1Tqp zziOOAd-QS18w}BHHW=O@Hhvn^kQ7YgH4~h}bXrMhGNcGx!a}LeXO3S8j6^Z8n>XC_ z5w@t$n4yMamiGNC9#VepoXK}MzD29XRQqy)xK7Bm>2ndv>BlSI)K1u?8B&{Zr0%uT8cG; zY?!-XyV$h9yN=&-A9x~fj2-ERfgH^qQRL6fX>iwO*m;$ba`7r(=KB{-?V|7tBhCsq ze44%BJsK2r9e%(bh0XXU@Gl|93U)y6g4|La(Hx~3{TB6K(%K6*Jw10JjMW1(!fxYs zL7kZpcZcLozbFjBza>GSHAVbg3Msn+lI!s4=%I;tJdohx1cqXm9Jm+nj3iP^-9{}n zH`0DNpa7BBycK~3I)ZC25*@hrV~KQ7(COGLoGCu58H))bk;US#VTZLJ$z=}G9RppW zoPwTs#tXLtPvY1KuXxNcnI1Ahe^UQR-PE?drmzT1!p4Mqp_yzpvS~tpmOG?@Usn4t zY0+Bh^uDMur9od(TcwCiRJ*T;O-}nU{ySczdg2B5X+6a`X%$1_VpWkVx|LkwBA68& zNtKfFkBgFDvA@vQaR)jky#`&5a#`St<6;IKL`@`bnUbMjkqCrS_YJIM(dblBU;D-x z&S=Y2x?T~f4MNXuY0Z5wer{`VM$7j@dtrU!(RPQ{{+$-DGrocJQSyWHA}{vhzJc-w6VG4qL(Ez!>~`TzV(7=%d`P$x_@fwjz+-1L+XSJhsrPla zW08J^i~twmWGlM+*fsFoIyy2n-F$4H53FIaPT=p{ydY6GTtp_j3U_tTHuiF2_i`jV zGT%^oNt6l70?DNE4B#uC9*Lli(+!}BU(jCm@$(_Z%}*s$#NAM7#u`a)Y>zDWk`y__ z?slhhh7AfgNRGqbz(sOakv-{LWcEVp?D#^@aKEPi~?;61!N5WYRZZf~oXn5A}0m?6J?jXrxo14-D0F^k8aWtx$=Lz@haR>6`OlEarDG<%o| zal{m3p)46}k3h!sQ}*E$nt<6YfuBy!s5b5uUEq6 zmP8AyjcKYw+a7@Q+LjBEzGbnYkeSha*jhQMafoGJgUxp%At-}TGRfOne-*Ty-aaH} zdxmwlmjvFI$-Iv@WsXdk`pmT!xgyp{HY5?VF7`3Cl?!xm;7?R&nWfHh%lYAl z0+O6T+z>V1iEs9-0uxctvPqIxtU2l)2|qn&=0;~tL$As5ktm?UJWx;qkQ7izKyr$< zWfSXk6Lq|j)_bi{hSOPz*<$v?QwE*D=88V%EIzJOBV7<|GkBtGO&a?pCM1>;Tkq>a z_{|d})(+YCADR>CFemheeHx@PcWx!j4+bT~*TN=W5`eSY%hJb`XB>yE>X)y&*T-;d z{&9Zcj868m&eMpF)fnRsV{sp1cL?<$wyt#*-~R zERJtUIV?^K-vb=e6U%m)U0a@PmyQ`5LR_g?L}6Ld#a%5PD+1q{9H3y)0Gj~X%9Idn zxK@Bb)+Za6KiF=NdfU&WqCQi$%I_%5+m3To(g3SgIpE`xCX?Q;a%i5G$fDtIRnfZj zD%6Tyf%jU@6-#@y>zb95&)?<8>!fiLH^bo7%ia6cZ;hWFO3c|7bwYZCvF8iVdB42- z9X*P4;k`TM)1FI;gK48P9aWG}><)*^6JXCs+wMRzeuVrbq)gQ1qHOfXIsbV91Is z14+61!`n7#Iq#4Sf}p)zjG)_MPy!*X(*Os8aZye}dcl@2=4JwcQCzxE6Hu5vAuDl4 zObtYxfWBfz$Zc{5kdBdr7ZY zo}hbqwjlrlVYu~S+n9Kp;HOBN@EoLX?d$#30 z(2O{ELme=AV;wlcybWP{JFY|@YOZuVP`2mrE`om|U4$8szTw-5J;A*}Y}eP)y>jCP z!1Zp4ct0>);e}0!K=uj@~wX=$=Z@mJ2)>o{(UETbEn!b;-+&?vVAMiOsPY}FOS8|*ISC@F5;9U|=?7U%D z_#XVPFn9-7P`~5(z%F~Efb`x}q|T{rB@gUvNC%|tuqz1wVGqrUK<^Nq-&cR(C+asY zZ=?^98j`o@K9eh)-l^^Tv#~4iH}q{sgimOHktg!sfk0ZW9KgWwiNS;B6(uX68QBm1 zk_Z@E)D<0`xCcrs(Hp|1m`7ya+?C%0_)%aXRQ(l5?`P8S=jGU){|7_r@#j;V*$d^T zc!~cFX!^%>SHdyjyI_g`6XEb(VF$DCz83TQyFcmN)*fAc2;Vs8b~Km3{B{j+61x9om?Uj8`#oRt6kw-M+| z_~B19w<3lfznlvXl^49NmyGwrb>nWc0hR2!^ow}5M{nq=7V- zl6RY1(2d&p(_EusSc?-(Pd5mY_jrz=pddg+$>_7J0U#G1`MM(il*D%#kL!>U&B#zs zztFM~{)3;~JxS`A4EZ}XxwtZ{__sfh!wYT1s7j->BJvy2@ar~SM+B7*6$dr3--f=U zS0)SXr#Zb~{o?r!fdFNS@yFo)o zv6G8^oNE1|qoUAuZ0rU7@P@aT(csUeM?ZaS%F9lMz)D0nB01G-sEm`hPW2atfit zsLAJfbxfTQ|H(pj-mHmKADkW%Fk~ZcX>O#LB3+C?!Wpht)fX9jeuF6U0wa^CB}M3_ zMT_^$d(M$=s$SG9EXb6JZEDmZmP5_LCzNboaw>Of%b-afJh@j`n044bbsGmI?>y-= zb)x`HKSRef;Y#nyaNP`6lb1S{nqJ+oLAzDhuH)JBNa3sJw{hpLlh-VD5A$890m6X- zM={EO5(bss{zvtzM~o|)ITW)`*M zoT(>CiQQqql4Qd_s10Ad&Y9Cjyt5#nH5BDdk%O}x$3iS4`F*I1H!R;D%rgPtZ1sC) z))nIq(5JKVNtutOV)UHmYXEKaLp+xAcdVmkh}@9exNYAbkX+>C z_M91(SQy6arjktCSW%dBldmS0uU40@=G3+lAQg|<;k*YQS6(%)NY>O+ zS5FZwP}VL;+-k_2Pjt;=UV?EIe+ninS1r3;MDm8u#I}@2W@AnpY{K4aAj1w{=^kvG zR0{S9C;1}5i_tt*XNSb>1O8rJ@v&gl*eDgvJo>~8y4MH;7eR}O!p58r&V7z=nWAtBH-^eh zx)9k$dd0d@$P6++8dgF8#5^qc24)T-GyD5Jo>V5DJU8E&u~Fq20&NB~ zTvCL*#9los;W=SNj3Zk`+`=G@OdR$%_#cmwuX}uLhxZHs7n2FO1FJ>y1J6Yod<|}U z$1_(Sq$eT|Uc*g`)#D#i`JJAYs6uvkpgv_A1yQ>=)MU4X`bJzV2u*V{X9;r} zuXKNeJ8ku*y#Y2+XJF*!pI$Plc?dt9|XL+31#REBn^)d~S) z^SH{B-qWd;k1tdDHO&1M(Was{pXp(`i>Y(baYFf+q&I2*ad#5o?0-MrSKq7Cytp*E z;eQ^8h(Y`O{BAobV(-(|cs$#3nlHp}UAA!mwl=E}tt?xrCHWL4NDJh>*RDpvR za}aV6b3i%HkXC#fa!c2R1P+;qkY*-Ci7gj|P@Fh$Q$W-PCWJ;SIDw%=d6w_3sG(BF zWoznB{hhrpO8i$Q{W7)Gpo>t^Y-t2;Vfh;84VQ+~pq=uMrlZ-AYiT`en|Qn680Kr^ zx|VN&-&m!=N15w+!|^tHZl=!{?VNCb)=Z@#7|tHh3}!ZthQVO6TaIOwHZC!uRFt*0 z`b&$#)UVi3`8{1{kpuNa3L&2F8ym_B#Hr3SNW;Ow8{ZsY_fbov+Q+R_Vp?65W4N*_ znJ`1AFEt7Y{1XVd-a}L!!n9b2gF@V3_j%G`Kb+;H`RR$lOE;9sZOg2@B;BwF~2+K>sGqXvS# z0_HG`f92IeONSVx4q#ElE2d%Xq=ch9e3NbPMyFWqSVy@&7!M7u!B_R0Y9w!}c}{c6 zONUPPlX){OqCY1%CdAG@PQ%b68K0Y&V{jXfs$Q&tuA19I^V0jw7^;q{v!YU`6we{B zD4-v%#fmeW$S}=ct|jvPA$4Nc#%Mc@Bh@D53TdN|MQ(@UxkHg)p+}}pz22q>^?EB4 z>C4lZWJP8sLai+CYN5HCSv!blRJ70cJBzVQO6TNveaG1EB~P)MM45oXo`{XrKlil- zzX=x2?K$X9Wbbh>%FHQh(vC*4F%0l`g9pSgaclLp8lxh9R_|Ey;`A9QilNd3P`8!* zaT6vhEJhqHJeNcj(jeww)CKBVimBFWi2f)ZRl^o@88Nm~8Rn+Q!jt73fbFSPWl|E; zB`|j@-H~~!2Cg5uNr}AQMOnUYMzzZue2m6iKH&NONr`Lj^lkgTbB?Oc|8k|K!A~vU zNKe4%*Ihfcm9aK^d!M@&t0yy*)ZCV}{a}=oR%tbikG+8N`6D^D`o@@WvsWEoIwxeQa&qAixOSL*QF8y-( zov*$6QcW$zK*?)7zWX`HiCrK^GRoP+RQAFu2 z-c)c-6@iiDmM8N$YK~>LZLzv_xSFtjc9F6-W(B*lL6*dJ0xwLQCEBEeGHA(fmy)xH zO&8!hg=)PUp#=mUbnZ@JCwy^qY7;4gm2UiW3sFAyVuYI8gl^R8oZI)Uc+#5#JT1}^ zWsgZ8ahRzQfIi9==s;=U?h0x4uTs2)1_t`<49>tPiVEpJoCm}Ss2;<;)O-ezk0>qm zkqK9f+@*DCO6)HIfb&}Cc5W$7vv$4c(Nz0;T|SEwwj_w89&h>;$H=3c_T6PQjgQ-H zgDxopFPr<-Sjy_o17c>i)|=Ven%6a_`WhqXna^`ZCH9BkTeoIY5`5WRE0n66&AZ+2 z&BUIhzBOcs5h9}LHL;jCYR13FP?anK0D>rA7sy4Gat_3@JvqUS5085Rjt2Y4J|pLH1nFKqlm7iD(lLZd>dVE3+#tr$|pn(%PPnC=+1K3 zPMLeO?NMKkQSYO)WLs_p_=h!GVun-weyzLDrizW_=*R5Wz_w!@j{0g{v>&Qgf?I?u z>-1}g=qXaBH|Hzp=yaSnbr@ZfU+tCjD-ImQPf}gw*=7a+OmFfu6i#Q}qtRy0=I>74 zlRIMY3{QQNU1@H%17rcbbdKt+7Gthey2Zo^ ztYMn1=A<+e+hG9KQLAM>g zWqm?|Z2UqBFi6Lm(nr|678zZ=1bqvnYrTfk(z|5p)vNg=q6C1TXIP{`r3_u zc3j=ZE#Eh6`2;8rbq#zsTV@12YY#8?5@C}_%@NYwmTdWSiZ|ri4-B3>#I83Nqtz;}n}PhU68vs=AJj;J-@`i->X-zD2*z0hGKv9}7-WiT;`B5u&32s7j6>aj6G zoW^t?7`~%7^u~bW8W!FqU!|F)PMdjd-oW6|J=J_|Ur;h+phA+~<9|q0WMv+l{gbh6 zcg2vLf~LFQvhYi(WvPv+A%s`DdHK`WH3;@=qzrVpWqrro%+FBRPs?1K0SHkz?mly3 zEDU(*SvLZ*LaJg=-a06reT!nCY{8(!CqKZ$XDMvqm zZX*oTf$u?&DCx-#a)F!D5^7=Ji<(He=7c}-y-6c7Ak;*8q_WxoOw=pM?X<*OO3*2(hwgY1|^)L?Y3I#EXE5 zc#vOkvy9LPeU9;XN^t96f{N%3m%*N#P;b-OnR2aBok=EQoWgG+JT-kfs1?|^zZMmu zLw#FDr?FPxu#SRjs@oQ=;_H#m0%L!1_4z|{_L`I6mWvm&>mv|=X2dE^O=!_$!7eZX zDOO_@9Sac_aS6k<@xv>3MdT-}Ec0G`&8%a)?~_YMx=5t&;;|lgcFqZykUbJL+tFP? zK;1{Xz81sYh?fxT)+Ujt*?e3pvEZJJs|^|Cseqq{s6r-ci{!O@3x%taTM$fGA|Y?NdP;`bj1=&d1pR<6I5pgEVBQ8W^a zKj$Zw@Dy!#^p<-_c_tpDebGQ_c@oM3K^4bqPjmHN?v8Nqdf$1(myYK4ziI0i(%daE zI=1x1S++*jO>;`jm3YKVo(LbBZW)|AkZ9)>fQPqXzsR+>Q!E)4RPn4tqCM$nH0 zy{U$nk<_E&QNR4DFX#_cCfr3m+*fykgci17Ly2G>Y_aD@m(9gr2v!MlHS#7nqOs2V z)h5Rc=8=?B?uEF(FEeoE=Svi3#`$@!k zN>WU&o%IoA?CH{;tuO_;DHBh(Dh)0uw*p;gc#SVqvo+kBYqU}NM-TEi^mj!_@J(jY_OWAJq!P{XByuGU10)`lEYuk2#+?KACSzq$2m3L z2no_CXJjS0k3IqQk4QJ%tDt{^g}v}|JJR}0$zyx+(-Xj7WJpRaSBQ?e<{dQwWFtd~ z_KD2>mBbYAjxH8kr!HXMW&}31GP z44I6QXPbc0L(#UXtv z^X(Z9B97w^qId4(#T1YKa;{tb@r@U;`Y>C`ltsPP{dT=2U6zEkQOsf!2Ow$j)$Dv- zd=U^j&d&6``7=6r&;eiTiqHm#|W&vH8->0V2Aexb_gD+3kWq=8;*T6RGSU7A5`E zHB-|EOxFjra9cj1kviAYkx%24pM-+$*hy%2)~>0mC62H-Dx5y8$hF_Sm%`WPVTE`@ z9MoZKIc+nhFTNw0O}McfAeB2tm)a^p;RM~MpOUujbe?P+)|v@S^i7>br2+4<*uJ+E zZ68O5cE&e6x{~>_F)r)xVx8f_nw**7oA|^q_H7=eZL3_&Y?&0gBS-_tnQ*}0oW>9D;VU)u-n_PtRGpXSSs^?PW-uX88_~)6)S|BX^g#I6a{lxDYIvlDU8lhl{TUJB2;lSvl#Uv z48N#J=c_Dylme5~m4+5wnUuHhJRmjl4+W>Rgm%cZXiuSlw@c-Rh?wBzG5xQ{xs-z< z@BBVZhqHWq4Ctr7t8*}mHh!M?c`gY=0^H>xz4%{iblL%1dH3@^IxZh+mjptS%y|d| zQCa(FIM@~onSvv0L-kf38o~G)#tM%pzVy>GxTQ;26%|5>-dYk-jg~yTdhqhO7?zx< zR8Jl$E>a@1LT~*h1-|&c*$YL|rHdN4<#ZyI!FX!&g?-qr{onB6G?h+W3+zXpL$hiu zRvoBGNMy(v9k^Q8MU#vT^axcV*p9Ji5oQpXexXb)D4=HE*UPe0&Ea7tJ?|L5qs)<< z`V8S*OTVL+(9osw(7SJvH65=;yGLxiWXB%%W8V3rf0p?7y|nF;UYooREh6FX zuN}J#^yNuS5ec!ajKt$jWsLuEqvkKvGkK3JBF@#y&)?W zSfKLH0b};y&T+Z*VJju)RavFjiA`)aJH`tRm_fVQ4qx^SQED+-+vN7yQL_Db5~?&R z+vuRJeLsrDAh@)QEA-ti^DW2^lZl}WqM^yx# z>Hfx!HnxQ^RNb-6<&$0mk+e{tR1}_#429;dDj#x~qeQK^0aj&C>(&;s@sRQBT!LZ@ zY841b_Q1*YA~!z4C6^cLz;|$DCRCkYckYJiu{cSsL~aOyL7G(~!;GVh^>a~1@(iI& z(Ijr{sR#9R8Ki&>oM9H7ARam@7svYyoe{>lpm>;q8~T}+MZpTMaYE$X`KNB=qNjzq zpH?RxQ}_wXg6>lgUXlD8^?q_2McAer$JakQi%a~1DMGxL4Q_H$-!RS>3wjy3!&u!OZ=VPF%i6@SNI~S4&*WfwxJ- zK7vPq6^k%`gti{Wg= zCIikgxOZ}8;4fljW8)l|28{mJ&+KM3wG5 z|8riV;PxV|h8&b)TQ~=pLQ95b+?cZqbU2*v4H@Ln9}= z6gjpWf~1{{G@A{bN#pGC$i>;|w>pV>N^aalQ0u2yAx?d+Jad2e4PBA%zcnZ1)mt?9 z1Qc*_enbB+-tHlXg8Sn6QNet9aisfg!<Kup!E-GB|}W5i2)VAF4^(t z)WE#$iIbkUK%4e>f0prtWQ#9o6L+SmZ#8Gyt6srdZJmCp1L4y^UV|2*Hf7g-afN+z zr5|XeXNTFx!<6B#=GfaYXXBh0527s>h{&?n{!KHV&I#=*mw=}-VkcMQreIp@>1Bjv zs{Z+tQS%oM8ih8B{BM4OJ##>jqjV8D8vhR>|9=AqHOjd6$>S!k3DP2xu&lD6? z5cb;}v5$G6V`fSEbr#!wBLTQYF}_yoL8lZg70CjMz3!IX-+!t-sjYF-^Bs1)TgUh{ zUu0O_xMs)BntQLb(qYQ*9y+<^eMh}v`n|7|^Mjj{P+yd^zET2*G%CKJO@dsAB(7IM z)WY4u!$eqwV5j1K9%Aw_gV17;LmS6-A`2EY;$E5#);7hH9{wz*!_ar`rRan2(0pU+ z^%4^=%!K5xjG7QQ>+dI>YO)R~%5#Qje&0{x;;;P8mRC zC2?{T{~B-@V=WQ6LRc&>WV!XAOMMi`e7oM#bgEPOK{ejeP`0!u4KL_#IK=N)sb#Oh z^b~7Jjk_y)xQIOA{eI6jAqHqM-+b?SOK|S{rAUwHjZ`Y^?x$x+M+JS4C{HOFZR5&j zFoYZnwtCR_4NuendK$DX9p(sAlAkFhl{VcLzr&rUQo7gMgVf|cR!qBnZ6-{+lU=jl zm+(<9-5ph@F!`##30!P&ye$SYbl$89Hr$Y#e#3~)-GG|rI(Z&R=c}rWj$GBn3k+Fi z6`4U|7j4Iq+_Q61(W(Gq@1WLD>-(1V z)b$(1zQA{`@2{_`2IO(mP=`>LDu*ie5%mLJgq`xs79J`dtOkqPuY&{5aWxuR#(H*^ zjl6ancFTrqcI;l`9BG@y0gVn#wrS_w=>{Yv5VIp+z4hC{(;L6C_aSpelUF@gH(A@l z8P5GNonny|jT*OwZz3+wI!*5dv}dMg!_{R!k_QqiQwK-`baDzRsrbc6GxN>kC!=t% zE2Oq5Aso4-zZ3JMB&hvJSX_?PM%}QBYFOvm#_IGsCC&}n1CO(sF{IQ zTzpCWewL4mRlz&m4yQhH6}KvPN{B@;7lni;Q(R2y4f=8 zy`Gy1g#yAn62i<7l^Sa;pDERCwQ2NoViG49c5w6IgeTIJ{@&w3%@T!Y4=25e^f2$i-Jzz&OIHB^U`y zTF$O~6(u{#@Z8nRyTOeL^F{}IurLYQp)qXEBvZBhCS@lI-xQSBVMGzmXKP>EysB8m ztfp94zTVTHlBvxoGr4LysNqU)d;ZE9FcY*sYSBB%p8f|PgM92MDVa~}RH3HI)k5W9 zx@0_8X(bv$JWg)LH4ja-1i^N>n5TfJV(dgj%m>vafPP#v6&IqdW^^@!xYe-;)*<{Z zl!jt|MA8iV7XDCgW*F2OT`sj)xlvq|NpoxsTd*|`d*7t}SCGjn&@)S;(tx!zezu^# zG`_B?SFw+y#5gcDTpYhz33pU>XSCfyh415+i=SrwQzNd{1^p>6{@eY}F@oPt3#*}a zo>xtm1S=vzO(Xa7o=&VT9j`l=SzfpMpfVgW!s~cqn#W?{w+`XAVt~D(xnQ%>6~=W| zeGOtdmT`{b_3`Ij;V21Dm_8VxSKqW<_~U|85dpj-tQ|tEGPg*?`A~8mvp>Z_2b}cK zTGmP-zJK+1k=8! zpzdR}ANam@cOPu5Y{~A{9np2Una@c!sTwS0=>eS)AxRze{(nYi-+3F3$$QZ-}=^fZ3w4 z9M9d#O*Kn7|Go&`t4bH6_0BkV<&J~XE>!Q4rr*26bj1cv=pPVn$-kt$T(WH1d-UcZ zYCLN&zrXp;Or>=COPKm^5U1J_kvPsJj#0rdV{xVls=&~_(nX7Y_x3-*%mf9TcW8aV`D^`uB;eumSZA#Imy6(+-m8-SU{x?PLw_)817+f0vm>MT$~h$EfV(MdQs>N&mLlLix;m^$!a@yRuftW{f=0&s1; zHwn?Pv!}zI?)SL(ONpEq)c(`lLRn85T&NeEWmbI2Xn8yc$ z2M@MXwsb!APrJ*9*9_t3dNF4gMd!LcLUQ=c&WV(8Tds066c#!sv(~fWXfP|0`7pv} z`9r#KB?fN0x0ii>X>Rg*?G;+{1~O0H)$UL9m3p;k=5cZi`&z?ejj_$@upX@# zMsD&RN5y3rMy1QT^BEX7b*2p6nc*D6q$in%rkEmCU(8;1wk5~8fh)4!>*@bL^iuTilu~ zArm8)BEP+v>VcZl%L8A~nydV2 z>A)tQ)=Q$oP4U}{p6^4ec^Ypkwt&HhaZW@>&c?zmz^}bC3FPArvw(+Srd?V z`21eo^WL?7olFAEhDh>|8GFKfh2?;^jM=(LwymWngRy`HLfs7Bq}$)Ug=trk1%&x- zdwKvk^s7nn>{Wj9X=f72;27@A4w`}-bn}s^CPA!AgB9z$pPs7`WV&qMC*dcvG1`-a zJ>`g~d$Dph;$BBEBdm*q+{!BCXnD6URie&_X0ze=fVd;-|F&N+Y`?aTAShqdsq?c` zT8__J^-?r4;iMOd5>r2sL7bvxNakk$_cF~qKB6l=$^F8LN}=wQGG_2uY`_>(xy=Gu zMIDy}t!JXauLsQPP_!52{4In8NFxcOTplBx;?md^C+;F!@L0ETqc}US|7@ z;N%GxY-IE3@Fq1Ixh`2>OVfiwf+qeUzJz7%TqA3o{)Tfa& zg`I(Wjq|f!aGrk^sC7%PF6&`Towyb0gXl989<14PSaw*;0Tu4AS(~<6PK4z)8per4 z2Bm*;CPs&=tD$KBrYXGhQ^mLRtb~hr$to?bz)ulK2=gBno4s;tk#{qPT9J zGdG~8gvwPKCbkF`MwBm%2_DnuLVMG2s0Lthp}vV;w3!$CbxlFgXXHQ{~#re(57t%M&rn zFNnQM9JEu?&t$Nhqu!(N-GIQ2UBbKV3BI|^>b^*OAFx2ksBjOvknV5{Hp-cf7RN3b zO6Eu$up5sQmn>bWq=r)aC`Mf(q9=)soEwx;ZvCKPr_YZE{lPH&J*_dR*x1C;Q zUne&T&f6fTu2r|a?FZftfPIj#hdU!8;@&oGC%Z>3FK=onE5Kz@i@C!t7Tw**Dr ze0j_ue3!vI0P5O7!j&aLNPN%zS2dQtWGsBx1oY1nG3?lB%T)T6>3^&;v*DK;+c(S?&(;fC=ZZgi3NzQtN(y^YmXSyW^^|LxivZPB z!|xjNlU6WJN$7iCqFAf>)H6A03)u6MBmo)=2s!AEmGLb_+`C*aV+%;~vFbl+Y*}&q zxl@5sf#}6fKYHnWKkZ{r74}`# zH`uMezu&t)`25V~tkQtC;rgLu-{B-<$WfGbG>2BUvMT{v(_|?JHqNeEVVG}}ri-MjLO$|DS1o18 ze-S5+4};|88`Ko@N`*&;{W;r;5d0!BVe_>2nih*A&qV(@t8#Uw+0M z)H&;+Q43DGM`C zGtx$Jk_inG+T1>)AVFK>>L_%^rZAKhlxq|lHU2Ng-(hRq;16KTZEM`>2Q;y$O9z@v zv{R*%z%dOvIB}z4s341tfE+n=uF{USJ4p?foflb_*h=UtbGK8@Qwwk1A0O|#FWqv? z9HiND7qZc0t)ycOsso_w5s<4`h*#PfT1PA-MaKywUFc=G-*bLq2Uum;#|-J5>KwUw z1jGYJ{RrICbFOR$qw|KUa@J)uE(d9z=qA%qP~v`QY*;XQ(80Ty{IObU$rOPTMPZhp z4maL6BEe8Cl|aHxTo|bq4|cXZtmnwoxE)7_z_DDbF&QJM+8#;4TzAks%}mfv^{W2O z`f>e8q2DKGwS8wvAJZrb&YnU3>{LT7>Fba$kWoxKiYJC-7D8w^!@csWvo1!L5GaJ05)26wE`QYWqGggnvm6=cHtxiBCnNCdoF z@xl71;8nV1DdT}v>+=H!TqL-#1DG0Rio7KAD~k=4r7(}Asi7upq71CF^eyW7?KRGq zaIre+bmxP3G)ha~L^UxrDRuFxWKPac6Rl|O#bjc_Z|@R(*=3~<*9$n zfwJ}L&&%dJTjb{Teqgq2RCk@Tb4w z);YUH>sbD-cqsxmQP5b~NZ51ykLj7njmSv~Td`5Gl^pk+Tu1b0bW0gR)z}lRU1{y; zlg@jc=V+{KRFt`dW_*k}E4IZ0TyUK*jA;rw^_=x#G>HWOvr_Ok(xF15lTLQ|TQCjk zzL4Zc?ND7FshyLqqC%dCNz&0?Z(b$i>R^K^%7MS+4a;HGy7dC+)j9f5&a_1kOw?ec z&~Dmy*r+DhsC^-0$DMf&>&S*-i)6E8EPpdA$Dh&DCN@q_ z{@EIm78D6MLo200x$jgxCZcO~*U1hzEPV&HS|1y4y@%vd*6Yp%8;6{)M2Fwwouw-w ze<+;}d{px&^lxUIhFZq%1o}WB3&|j=c6b)36Lc=j_){S#cG4-B5jkvfxO=A+jV5U= zYP#`zvn8|8g3vPe1U1N-5G$JFuwfZOGZ%*B_)m4~F z(x)??9-Vc1_?B2Br+-3p_&L1n?9fjVsx4cJY8vgV?X)kT?@;%zdlO_omT!1HB|Ydr zybW8n&qLgaew?ldl)wh*0MI%P{64UV=fQ>Ok;)`5Q&g3;$PP}?`wKHdsO7ShGl@s$ zE7nC|?OmHY$KUfZb(PF*W~I^WVJ7FJ`HBj32y@~JDf8_0JDhqob|iHv{k#(tgt z5tPHpju+89rxlf(c8)Z8T)m+&p<-SJHffEV*YklX_~RoOm#l5r^kBG=Ds^2Ma+y138bBCjb;z$`UQVDF`A=S z>}F=q76%mLEBf$n*7ycckK#e~zzF`e->G?J~kaoBggY-CwHMo2(k+(H5erNBE_^=-z-lj@Es@g_+GGo zklq;l@afXW###2(OuY{0lXQF?91A?iH*#btMGOuIaa7u(j}K!_w>(4+tFNUhbkncJT||#!ZN&&b2E?JwR?TFMg%QFLo9s*fiRH^FT`nDHlUW9=SH!`%LD`AW z!I{Td0DDB2#4Kpe-4-);e0ewrm`r_!#OB<9-Sr}VF>G9$R^SWGPxgwoDOOTlnD0|p zT}>Yr!Rj8rsGYHtR`x}ho8fPsV>#Rp;E`mdu);!wXLY_@y6iSST8w_Ch(6O}{|Fcy zQrr0RO#QvO&eXxehj$}mGf@2EbxZv!Z#)EdiN2$RUUSp)_+bolQ>}!KzIAtX zFZ>-n(aL2d?iztV{8d-Oh ztuGKjd04MtrtOwr%2vr!Ge2`q1pqRTtIazDJ6&7#P46-;KLzALC~Ep&pymUYdkxL_ zBzjw47Bdqza+P;Fg04!#JQ^1HxMEtY|4K&S5b>0}G~P`N92i~huC1(UKqgjYV_H`o+w1tb@UA5XhvondWvsScK0Lf`|vifMuKFHPz{O&^!o5 z6g1qOk0JQ!y;E0&cFpqf<855B>Z{+mu%0YHRH{cc%ylqq5E}f%da23ie1LL1Y^DVy z-x0gr5;faz8Y3H7eNY&Sjv$<7_kIKn`inAao6K|zv*FB+Vrvw$ppSDNo+7!CFZRgP zD}}`@u^_QjQpU|O7@qX6{(Y)EVn-C2qAocmj)E+?b6MG9&RM!Ws$dk#;2ok+L!~rI zP)O#RiMVKK3NksEAAu?m2)>FSGfH58EW$BKab%@fuNidhrX=?Nti0KWL=gaUIt^}l zuLLG!!dX~V)uCSkFiD6J@VUs~Rc2&BQ8?Mz?H;vqnUecP?Pr6)Xoft>Al3yFQkVY3 z4oOX$?JUSu^ym=RuL~3E-mftW6U0rNCNuaw^~PmK(ylaA7{lH^?Onx8>UVhWlRPq! z{alxg8%0_K>3^L*g+-;|qYe7X@=c=qvu7Crc1d>jP3WL(yX^w4q$AB7eLQ@i?gC?1=sO>= zCU2>k8V}_byy%TmQx|^!HN>~)`Ob32Vth{be42VeK`Q~WY5(LO10|Rb%sxAhg)R>G zER+aR%>mk5bmO)=m9umf6l)`EV;c->6#RfU*kv-l5MPKa(dt0qUj93JcU&JBej$DT z&D?qe5Vq~?z<5A3OwL!AJkwvIO8t#H=g1X<=RMvWy}XCe%VZ~2bJ$8ho_U<;hrhEz z0nCH>$3%$3Wqz8l!>S(C;-sgwpLLk4A0Ga?=y7yH2wK^?N z#qNSbnulb2@|_>f?@erdln|=~E=`Is(tYXYiA`!Bif!PPeldHOV_6rw=IcpP>1j*I z2>z0u-~Pn7j|z>)7vj(wHLbX6y}qBSixMc+-N@8jW&F5f+Baef!ob9GVHwSDE7$`; zbr85cK`hJ|{ohnz&?_288Cg4>g3!5zD)0n5gs$$*Du^#n!7H$`XsT(bR5+n)t(*(J zgV2dFB9cggMv*B+1b0EOA*EKPk&A`N+L#XqoddS0BmB}12%pmxwkv79${*2hY&*Pj zwi*X-eO2DJfq6;>UaLuACfwo8#x^3iqq@d+EO9a^{9@#={sFs0!>1bBs=)}RiZa6v zK$f^{&d1jpNii4#7Jhy+ZwZJH2v+toAM{tWCF7d7^r+Or1FKuZ1U7tovGi|85wKth zob08pYVC2Qlc)vPjb0_}OOw~(X!~>VwxXQBuIosx^GttG;-WGeX;m#c<>*21*Uw-HYvE5F^C7Y5j12nbGC zgY((54bgweT%_CS+@XJvG)44|^HB5^@j0!cJiX7;&h5A#ySORF^{;BNJOOpBqXOX$RY}A%;X0_~>j&b#HJ*PA4K*9WR zH(kp#=xUBjcI*V+_$VkHVb~wE?7m(IzgT8iPAwD+^*?j8WBUcazRO2$3EccH4enB9 zJ$|S*z<~M56tf1DvE%cyR);9~g}k7MchGp8z?s7vw)An0Je|j*#uynTSAMecyQ{93 z9<4a$5>*S}^<)5@b&xh z%hL@-vVIm+_gV%&S0vu#SLZDo#wdmK_p2OL5ZR{?+h1Lj+q#e7n&o-oI~%-61@vR( z_Y7~yj{=>-tb%`{Y_fv+l&cAROVh2rj+2VH>A9b7=7-A}Cf>o?BmDSHyM}Z)T2GId z%sv-0rR9QtJbo2y%{tpsMSqDjXai_ias4wZ8&|Bj*S6u~+{xtJ_ffbmy zAn|b`0}!dtFM**Y`cN~5X;?aurQ{SM7w)9ZD)CPM#Ey%Y_#m=_h*9J-v+)%WX5pF9 zGM0nV5{a%p$EDOWC0_J-^JZ3;zBhI|c1*v=epk~sv9*5z?}47Y9WMJ>dLp;^wY3yY z)BwrQ+fF9ZFOZP4m~)FE!Jh{{22gU!Lq%~#XCHA1Hj0{YSiTBOuAo;u$4B8@US+$_mia38X6ZN<&{VHHy4T%T=%WlOa%d(?XZ`_u zsuS*!ZgzI13hNm=n)xQ^L4)KBr?^4MsRlY z?%{RzQ06rcIsS>><~qB$~Z;$cB9x%Zch0atw7{UD!8E5#D z#ojD+oN~@bV92~bDvDXX&8c}`YaFqHgKiKf7#87#5f-Bmc|~+8?Ua&klsULlD6NQf z6})ePn_pPwQDPOlw+bw~cVq%}Ih6F8nsG2;u%9YjCYeBelj|J%VepLQ{Q#A&X;9naT4m!?Oe) z3?ujB{kNc*h+`jip3$aOi~Pz{sF`|6rZ#oaQLneK%tV`uT^DAv7J4tYv)&>PX%sVI zk*w9bThsv?+iN!xW!%FH4W>$I*Mh-@n|6(#&xc>9hzcU$Jf5pTr{WCZI|0B!( zukiW5oBSC#|JO}X`e&y7e{cG~((nIo3mJ?6?N2>H7r+8wV*7_t@o!Ydr<}nBVE!a9 z{)=4zurU9_m-sBq0nq-JKJgFT;xG5&Z};Kf6bxMe>nFAGH)-)t-r=7>#(%#67b^=r zC+lDE#=pMsle^#qd}=3uSC50~vl9Q@|EB};kK=!rVE&ij@gKGP*B>$D^e~1-<6_!S} z#Yu&ZEn1RiGAVM9I*bb=mSi7EKE?slY)iMCiu%W{P~ic!SACVy37IQq)gdf=VB6`c zCOkfd>D9vLEnPUb!hFYJqJo;tqLsgo3z@2Afs71pYi(mladcM1C$3mfHE3V}~ z1%dJC%b-XgaqUv}@&)TdJUa71Ci2u0e2Gi>*0~1!jkUj^#Ubct`+Ag|>+;i%V+_vh zSZhPS?Rz!l|I0}B_cQr>9QzBI`CpXH|Hf4O_gDJ=w@~rdAfoi&go?j<#J`O+?0;A1 zzk~`VE;eS)|5>Q`lw&ylX`GyAKz{-iZ%0$DZV!^ou$pGf3F9&$<4ARKYAAqKO=xL$ zApkTK>SrvIG=Me_P>a*^gV;q_L{MjSRgJvQ2uTE~e=%H2u(A=THj@X2q(~J%@{;+I z4*j)sfAeu;=;MR$a^li^%6IBd<|f}5yuX5w1e7HSucNvOMRD}%(@uTR^gYt4UB=vW z-U>zsMlU8&N4?{H8Aw=ozCh<}p>F(a4V z+3=^+;yV#h6qIh!g|Oil$%1`A`I+kPO$*UwES_Vq@suj%Wx2pj)!iL`Ge$!=2W+~ zvv5jU{e`miApq-Golk#eB>rHGUO&mXuhF9=%_tJDF6)`qDBNtBL!=Z*+11Yor~m2V zt(~yt?8HNl$XNL3*^qb? z=D0PHqS(J4HTmr@aUC}6u$5D|hYo2mgFI-OpdI=55s>8KM^p9 z2tr%yhcOTThB7?}E1fVQIvRQ=`2*U)K4cG&FDuz3+Ilgp)Hnp0C2oU93bd68Nc1i- zW_S47FB{6FNy+c{^{!C$Y|6+X9YE2p_PXW!=c7AaO@YDuaPgY=8{)+q3g((^dCX@$qGU1!Ql%Z7DB6b*K>Tg@)XC0e@gBj+ag<8=%PF~?uHgC+}TMx->9UHiiQfF1Ju zM4haO$x0lZr_a`NQTjDEA$>Sc!S>13og8wW8*Z~4k{B~I30)L?Bl`WUmOR*7*5`cS z9vhcY2_5_C^U@|0osOM{EjD?u^MKZ)t#q&gxJ76)f=2YBp#WsVI6`92^=HZ3Wo$t=c-t_OI1=U^!(q|H3bV|HKb9IIC^7TVrGL8*JaG9zICaLWEZN%&&?jhvjlofJ2-kqt423ph{Ar{BY zi`cSaJTxRZbGppPV9mriqsfLaO@jC#OrVRm{J@wc<_1w3wM564KI}_vo(^_Kw*5%C z-0%Aqxl=UD(GtPO@3Bb>arpuI>R<;q4s$q6+H!eYn~-D}|SYnwglBl2I=}{7k?rasa7p&MIJmx=@Hdp*J@; zKFG)`?DvUd3m-~oX9H_o@Hsrv%rryqd99Gj$btv2T65}zr;FTICwBb=>`f_EB z2qb+ds1*aQ<%bS&>#L%t{I= zs=5_1|3yYIMKKRyc4JKtg{3RnB^Ngj7eH;S02wg4t z#)aaTmtpmo9y@k#^Ivqc4kT+Z(YyE*dgW3w1`7-$RLR zA>+LI&|Sz#hP=Yt9reo;g_LQ@*L4n{S~2{BLozfqW~^^hqupURfTp`ca?9^dq-bpc zHjZhnZPWKc)U}U5aNOtIajB#><^(@bGR2Gg3zHM6VW^#SGY5fxOr>E&jA2 z$VHe}H?PiA8xk9-y6^V^i>VKjN0S!ZtQx@|9`~e=QPr^KLe(DHMaEpY4)8#gOy1A7i3I%{DDO>2>YZfe;?#0#MNg z4PFhgv|(|zc;)oWKv>9>?i6>fk+Unm{5-$>#Cl-nL3^a7Tqu>lfMMeD)*;y72ibMe zuhj5uWTO@a4NuvdVS&0vVM9XQ^&YFH7aCGEcb}Xg=Aq$`1>w8nE4}1j^JWZ|HQJ4f z@zEhw8%?G7nE}%?N@rPdeJ3?BHYYXeO9(7svbfsqYtA%YiCK|F`9tWW-0@^11W7XQnU{Kq!#%eV%MnKds4A`QAe+Z4+uaY6n zk{3z!Loux_i-utpL-i}pE)N)Huph{Fx1kgiob7HX>>_t1H?bZcjh_3< zD(_2jMWcPo?l8Zc3(QI^VmxU=%bIFF)?1ae4Qss6F;>=yMX-$!t)SxZ)O|JcrL7LZ zdWjV<1WyOdzjGrPRb<@rLfuUcMW3F$CHa(AZYHG~nA3o=$QZ4Hhsd6AS4CaPM9Rx3 z=-}egin%#c22|^Rxi5seAQ6%JDd}M_1onQahqUQJ!c&!9{jq`>d5agj05}I23;YCS z-;U8geEc>)HMJxCvQUd}LNcrn>*X8n`|ADX{pQxT#m5QbBs43d{yJ}a>?i2eFkO}K z)=Q5xAiq+SUHy4EDokzMZ6A+|51~%%x7W%&_PU>(BVx=++ zT|&4=cBlk^0C5Z8O<0EcV`Bu+L`K%3JuU+}>;t8H-oOi9K~D5x>8%Iwx7yOi8U-iO zW0k)&g9imZtH+`taX?McJ+kjFIXgCc-Fnge*=_v|cww$Z9s|rV5BK|$ZGc>u#WoKp zFt;cRaNc0Fbmm=_y{;*h?5mZKfv;g8$vN`@4h7tmzFue>y+U7LSXiYW$sz0Z>ew;O z*NE5*p#Y`7x)DNAgfs%uTgiG+e7{aKkMAbXeQ)(18}G+vn?p^vE+S)JU?rEUw3KjJ z(pVd@vy(d6``LKkP?wceS-kq3=;_AyjlZ_Y>@y+1+@n1NH$_ADR*mgmWv+2SMSERo z;_G^(F>1LLH7bqO8v4AFU<3-RH4MtFbr8K>m3k@F%D}{d-9wQU!26aR>hkx*=M)xj zyLWbVHR%4y$Dg)jx@#8I3kYT`Fia?lCykt6NRY^YM)uBlIZ%o~N`XBRFcAV`OeQ=g zI3~2Xz+o{sK@%coWNe_b2o5p&wom~e9$9jO5)*(N_X8*nC)Q5{L6iXnNsPgSWGLPb zuz{lK4FHOX5RL17hxio)6v0A<5CJ2`Lk@uwg%dbJ<_^Nh6$}CdAPYjV2?Z+A zg~Sq*LJ{;3Q3ibep$SEp2kD4?&9{THlMRdjULtK0cM0kUct>%@Ji$03IAS$)a$bsZ1)DitevV#a*LJCEyCPpAe0O$#xiJu8~L@r0TL)Svq z;$9OQVdlYJgBVd5K^pNG;r2!xiMS(acg6+(I7IFie?_>~?*9(l1o%chq3>w+vjB^c z<%yaASt2%KHbT7q?s&Dx*H}iNJBt0D{UpFpWJDqY5#Aq9g-aS>8lf$OwHS_sJ9_;% z{Z=B7I|TjI{ZjqwK-Yd!~wQ!EOJ8Jz({d7RG4ItBx z0iY-_7^v0{((f$-YeZl~Z-ijPzGK!;+b`1(*Y7OCVuX_iJp)V)x3~&f0$l@A0Y`x8 zNC`-&$oD{Q5jrCfBi=j+rb*mIs1mVAQ57QU&fJ=e85j|+ym1}?2IF9P3}mEOq)?_$E| z0g5Ago#1hYp7$PV;|a6;Krj+Ga9N4Q}c z76(uW@Plz7+;GGq3cSPI@DJ+?Y=C-T?%~H81H=X2;hezs&SPQ5v;p=3cn}_-d-8zl zz*powL_oDa9*76}9$@%uth&HMAXN4l>_~G5Tpgwmga6sr75E$CVftA5SWE%efU_?v z2zBuNu(Q~_BRgMLU~YJaF9m%eZ?t0%1$;qotYh{4d0}thhUWnC{x)AdP(Ft=@>mK1 z0>~9C*0CF`VTf39!NovckQ>HfVE|$P@0T0tSQo%!V1+7$_JuM-;~H$87~i^3UJ3aq zN+WytW9nmCYt@F2P$w5tVZV_a`*)oBfsVzFk&XpgUBf&I8FSeT17=MrumpZQJXMD< zq+=<_AucSu1b93jFwU~rc$i%@5_L-lTu!4atOsoO=G=i_Wt*16RFsloIcJif#5n}= zPhAetM~%-IKIwXS0Z+Ei7R!FZZE}Rjnnnvn7T|R94Ug8XNXccg6G+Op4US=CI8mZ~ zsuo2S2bP{}C{bpgrr&|-71Yo13=~-)SeG(V}j##e*0dhvA7pn5-Oi_5=GO`Rw30+@upE>s}qVvS0ztcaO~9{Apb(VKHUxqO#$D=Jek!tY3TGffiAZ z`dhkK-8C*mVIO|mdEZ<>eS2ZWki;7slSYJE3<^Z`y*C|Lv@J|ihJ(kM8Bui%2#hTK zR-W8JiBKNeDZ&)30s>nyG$gsx8bX(k#z?ck=AO^BDv6c4QsjR0xl(3Iq6JNB^#Hbs zd1UKi6VXB+YPSr+Y)G;%V{Hn8NvjVhI_z|tnzXYsUyC04?0$N8y!6@GP7&$4Iz86f zslzO+IOhe0IJmZE%1cj-OUUn>Jw!IlTSS}JGD+%)dD0K{qU`R&@wc1vs$b%9vFI-% zJO3UIDCr34J*xnp8kgxTiEs@GMGRj&&VvjN<;izZnk!6DntQA#ZM&RuVlb7Zc5YCv z0*o+|PF?}aoy4Z*wxcO4*+;*oO>k$fjJ!YRMIiYi-swqNEqiGww+HT`F;n~3FUn!_ z5ycHd(R%&{_vosK#k#p69@E&&G3JA8gse}{r7FVz;7*|_MBv6Xn_KBA)bkuH?(UZ+ z&G$j<-uNzM<%!$CUHpjl(M1{rM@oP5rO80sq+k_+V#1ai2N$teqbHC3UQA5PVlxLc zO!#yQt)mZ_uZDU@$k|jW27n7I9|U>ea@fOCEYl^Ky9C+4~w`TJ;kbqQ9MCs5i>%77su*qD0y7jSo%409Xh0C8B{U)>Dn#w5?k~U z7s6cA=~f)QzMDaF-AfE*;9Zu+;&YMdTxs;`L}?mbFZ4GR!=UrDoOu=M^2!u&%Nz=i z=?`NA56IlxcHs51=JFGe{LtZK*4gPSaeh-=m-CJ~B|$`Wt=;~;BZWdo!IY1&(|00q zUe8EkoG^pu8$%g6k-5~i0KLfsF3qj2nPsQ_3!zvfgRNhw?b;60L(z2?v5SP%IZRF6 z!v@Q%Z&<+ch|*c$QWeX^fy^{C9lEQPv&z`1&U3W6B#4=s{ZWXN*JnVPGz8mkvm+rl)q*6tPmb;a}cydGw2)erYY>vNPg&|UF? zDigEg8uJb*Gdk+(F%x06TC+`Wam~BnEDz)`@WAid21h%ga`bplHOdmK$xWBK4F^}F z-bn!)UdQa7hHEHT9nj8*2n2VPt&fR8zj#L9e_FPE;KRd&1J0!5=;T0z}aDrkIEiG9D3VO4DjJtR4drb0OCg4)8kIQ#xKYS`N)$)nStVs?=r=If`(z8==z{pC_4Pf4BO?Y>kb<3-1~gmD^|P<1uQoCz zoOttMRPx@BIxPaYrDIDXkF#U^VGVCL<#!(0<%fJ0_*UKcu3ylX+s^TdgJvKmtWBf} zrRWs(;??7%LDcb61E>2Dg(I$f)O0Ce=S;INj%H|n#&` zkgb_eN`1-8jRG~Wr0P@ag1a^(O-$`kjf47mvY-;4EmcKZxx>9cSEalp-yK~zGKcSz z4z1yuAF-;Z!@SvEk@FOdiAi@wKlVtcNjZO*x_SU#O=xsL+T7u)@6nT4z0rC%{j;vO z9bxUQzi1vB7M7jw_-9S(%9?8p7k&dz!1(PcyGCpJTrI?RLA^YsnoH51YU%b89R=v; zQ6N-Oo6x?xF0=Js9f9y8I^894I4;$%=l$%g7b=}eT&^g(f%p|I@8g!)RCRU_iIsS2 zim8c_DjAM>HkQtBN8_@rCgbkj+}3Ym8nQ70r@DJgA3Au(r0uQ#BEk@kX4SnGr+FDU zWK64Aa#KV7S%d{~V(Qd-1zaM~p&F*ZDi!QIU4c!$%fb36T~`BGN2Ecq6gt<@2SW8Z z`^&^0SE(KTjkJFK#_rhKb5Rl2DMBjk>%Erb^P~hf_4eW*Gd9(ztZ&q=!yV>_t%T!Q zS4T%PsQ1{)qt^D=mW7|o_-X1xgWo4C2`|DOmW@eznWc)MTECYo3kB-2h?^9Nz(t0^ zbC{*bf$+hbdd4GA4E}2C&^1@Fx;tBsf>J8mie{y{PZ0`WMK4&w+W75foalD`{m{v0ac5a##|d!SXaG@TUgZtU0DXNjbNQrJ|Sv%iqwry`{T0_`Ft=$JyRFt>ibu}+Rah40weqG425O(U~v_RtIEZw;4Bp?nkvsf zhF~kCM{l({JIA27kSw`;HHNb@`>h^*1I?RS3hYef-ioaW-ODWHZDy|DWEjJg&#AZyUte4Z;{p#Dk&w-TQr88rg-I2$O7$N|YXwm?_3S*=jwev?_Y0!9_PN#IoJ7qukU*QOg=ZEO!a5O;)3Q+ z>Gm%8{3x%?sue3a25r4me#4xl?d~7ml5%1}{N9MdZ_m3mIa>GDO)K?qvAUfH7hc%x z*2v!F?mkQiXnewP%+W2W_hy*Mey`Hio6kGB)E#wcc3jx{ggaFo>Ia5ZIT{WBYbr{9^sX?*f_$EJ=q$5ozl!r%MEc<&8i<@R}hS7BwbS>2bF ze4Ma2qifmT(xj<%LmWG{e-hZutJ*@>UW@0=bF4Y8+e7E1uzl}${hn5BYxf%Fh^!yN z&Mf%dt!r}pz_hCKlD=CPcA?GUoWXCJ_BhyXb#m|ZS9eb-oE}@aPS*`zf0;7N^1oL! zcE!pqK5|Inb7?#_$C&$PO+u)&^h-)3kl7xl_)Jo;JNcaJv= zd)H?4s!m^(2`jYz<^(((IhFFM()me&3JfeQg;*DiU!H!{ho z&y(Jd#_Xx|YHO#+y@Bn*f0>ola_cx}zcTZh_1Ju9V})K5T{@h}81&n|#oJz4?>`w_ zCZoy-|4Xe#r0tw_r?z*&C!>GsHza(&+V4T=wG?N4?SmRi9@GpfvVMi@niA_%3Z_KI z=58>`zHH$0WPj%(K4YVeX?2VA(C-v}x4-j~W`(YKpPf6u^@F)%hxzt>cW!HNiK;FQ zJ7wIQJ^96f>i)UE%-Ngf*|1cpX<3u)Fx>suN zj6J=AF22!?4r$*cmP)){ys^{bbEVg17u=`M-ek*dQF(v6n5lQpd7N<@xwB>SyImZs zzP&!V+5Amq)`X-7^r`>z#H6u7$396)G{K(YI&!|9s)Bd}C7Fu(SOJ zj$G_^{m&~!Z)8R-KAFBFCiljK5?-TT6b$mUnkJv@yQyX5kkqT&HjQc>nO@lAbB95H z78o?^Uu~mq|Ipe`FMaQk^Ooc;{eCDNQKMq$!E+;>9S*0Y`?+WBJn|^_TERQD=UzSd zY{}>40|TGnr+Z=oB1`RAc7IX8vuQ6Tmf7g1e&_b!TIrY_#`N}6U52%CEIo3&|K#J* zxp%YULPMR0N1b@NaL=mO$Gu;U_Sjwd;mo-Ba$|cvn(5kR`EkcbH_!K-vC82^fr#xd z)*l+B*KC@7w}5|Ql`d0vk1?vOD25+mvmCbhRxaCfZE5QZTf^M{;4{g9*i| zO}evaM7c37;=47u@(BeH&P2~YB1&Jl=-1BIxAKDK_ugkt9{N0B;-=gw3l8_#bx?V6_w}#0&KiG?{G-LG zQ}6FBuWil!&3)*F`wNt)iHVaN4*Ko%R9pD)lQU*#uOINH<(*j@cAoPrdeS}X#C2oE zo;cg^=k>;=&)4o8nAa}gn~K}qn+&+}*mqUL0LKM80}q_|!(~8=*4bZIa{Kq}Uq}4x zlRO}MxQFbs(D6v{XI>X4dAtf4y36a!#vWHLwbQ4@XD_;6q3NsaLA6s?-5B#nhd*75 zgvZS4KXc}Cm#llWW)2>A$iK?-K8LYCjycyKI+e^~UHQcTeOT z8#!TTN1xXYOJnAZRral3epqVga_h;a*$Z#yNO9jCJ)Lx5LJRLBUA8Bc-t}XtkjR;% zcl#%lOfBwkwc4=nx21Y*>QnRZ4ELNwz5o6KtxjBC-MY?Oqwv^-HH#esYdlLiwfe;H ze#ZhPHL3aV#vG62xSUm|*ROxyXY#V0ml~|fZn)B=&zsrF@lpLAJO9=y)~MYeX{yhW zE_&LjYMcF^Ouc{Om&&&kX;5geTB=68W5t){uu`j)>In->4( z?%3T6&o7Q1aB_|3BCXeo*iSe1jOcPKw_x>{Tif&LaS+luXhOX)8 zpBfer+Re#STr59i4uL&E|@3C`EFz zbko()gtkq4?^K>CRlzF#wdzmGvNsa?c zrZ>%bIZYW}qSSyK^c8iQmDM%A{n?HUqr%6n?du;JlsIlkQe%hpV|oS^{7kyOCb&-2 zy1m;y9!^;|a^VuUj*SbCOzV;JZ0nu7f!nQzOUJBoii)V>7c@BJ#mKcyxuR(r&^q^c39o>T>-G+ubUbpD_24tN8S?VzEi-%kqYH`wMWSbQd=ZoPjubPWvze-mrI;~hxB${VgB$J>V!qhYjURURPvkZKQBb>w1_*qNkbuA^I_sN8n3YHM%3Yul` z7{1w}y;vHZ%`)-DQQkcR<$T6qd}tm7mB#QL7>%i{N0L3n5Y~lB<}sPi2B$&1a}`u4 zo>!G^#4oC3=JTvUxTx?!=xnk|T&K#4Ot{E0`4OtjTntl7a-hnH_e5M|d^$yAP)r(Q zTcIjC$pO4SvZ1P?@;MZlIZ=`L&haQ=g$v;^8=p-v?VkeL|33J;2xj@tLA!(jd=MUE z-%(ZBE~o&8?hVels2^~B$fl^8jd4*emR-$aUC<2jhg3~x4Di((olV0@M67f;tu!X{ zv${k!0Pco8bjpjpZsB`Ham*l}A08a>sS0<9WYt6C z7KQa3&JXR`(kT8=EtT$4vzcT=5el)+Sa?|2vj(ve&!Iu}MOo8qaA4?JdxV@<{xn3p zJjT8X++FgApsKQHk2G1qN4Me{8r_Emkrd?xbU^-rCh2@$9G9MzVOVKhI0)jHVo_|M zDJJQvrm#S82fnYRbrCcX&M*OVZ!&(ihxROyo@)?OS_7dP-G?Ic8gPdQ zKLjr#Z@}B&`+(u6byfCV)b#c!HvvFyS|(HaQ1=*}&+zi_2k z?k$D#T*!Q}-Lg10hk^v_3~ZUW7v0AGACkJHAG!_MAVIE>{v-W>%SHMDlt~wG3d++U zJ4AL1X&=%Bq{xU*u>$E4)R%My-ZSYSn2B;II{1pPf(t?zAT$;4T$hmu7O|2n@-aaX zq%$bekiO|MGHl}e5UbJt5K7YikWl3PnUv4gVas?8i}Vr}fbj!AR`yEIS5!{W25O!}*<924tEl8JtfuHx3|ee474 z_!Sw^#>2~IxdMgJJWVA(5g`f70h|WWp2HK>M1RAcLMAx{!H~Ql-$~Cx`Dq@6h%i80 zMmXb=cplpdgkTckj2bSesO;$=ZBD%XMVOg_m6T9`IGjro3OG} z<|a#~oRJQdCRwxaQ$*sPk!mLVEQ@jlDA|zhMkr1(wvkV5(LgRz#1B~-if15J6z3T> zjcf`s^%Oh9HN&qG<>fWQ#y%3FBZ|chiDPF2_EN+T^C;J6Pmhc8V!&RCI2*8eJkKIt zv#T3nB}>Ft2JEG%t4N`c{Qy$LR|f2*$aP={@}=Ox5wAhbiPumzAYOx4Lc9j=ig*q4 zh}R4hfd~V{h=d>FL7oT4Q^PDZ?DAjl?M;3^Y-8vDwKj)>O`4Fxz6Qv^ALGaP7Pp^B|}+4-P7g!Mmj~uAd|9X8uEITa+u)hT@L!KGP-<|Cu&)=+Hb(?2kZ} z6@>_z$9ZL3CDnxC<`DmxC{2i1pTjYBnlhYu5mPj=h;oG}Pv?0S@i@u>6i*}7LbU{YDVpR( zL6?%a7e%4^Dta}EvrT(L2t5n0n#Ry4N@E}iaSUNR%|mH|Fo5|btWY52c^Yvxs;k8J zCWb^EgquyA4G)g^9@!>|Fx9xeg_IWYy$LENte}E~frezRh?NE}oyL&Or7>uiIEG{p z&4cSr7$Ef^@)anSd{$)JiQD0=5w~L=aXUJDh}%t+g-EX8q!U(f00{#)yo43fDm;(t zuqGTB!U~3!utNI_VFd$6SV3H99;T7JfSgDN(e}Xebmn#lAYlbFO;~}R2?NA~gq4L- zohUDe=?DW$Vs1zAljm{G0b5~iM+$(jLhMHvAodfnvXBE6F|ZI1iqBfef$}`gHNz?p z-y;o7eyoKYs31N7(*kOI1l|&0+&dr=ZSV7W5`+x&mxT}-kaU46JsIt>QX-v z#uU-!VGLEYk82DY+AlaHU}*6sP29Q!e;4pq&%2vI46=P?!^r{#S>5 a_^^IMhyB$bFQKUsKf7C}PODC>i~k2$^BMdA literal 0 HcmV?d00001 diff --git a/figures/asicworld.tex b/figures/asicworld.tex new file mode 100644 index 0000000..de0a122 --- /dev/null +++ b/figures/asicworld.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \frame{\includegraphics[width=\textwidth,height=0.9\textheight,keepaspectratio]{figures/asicworld.pdf}} + \caption{This is an example provided by ASIC World that encourages bad design practices \cite{asicworld}} + \label{fig:asicworld} +\end{figure} diff --git a/figures/chipdev_hack.pdf b/figures/chipdev_hack.pdf new file mode 100644 index 0000000000000000000000000000000000000000..815a5582db6085536a976300d4a75a800bee2822 GIT binary patch literal 98087 zcmd>kWmFtpn=KIB9TEa*2n45bcXxMpcXtRb!QI^n?(Xg(xCEyO?h@d3lJ^@~b7#$+ z-?vuLRh+XQKT=g|lgSH<(lXF7Ba+R`4=y1x1Ly&EhL(uj+=xJF6I(N9a{v=N;GY8# zC~9HtY~lz2idq{un+Tg2*%_N4^70}&IXjvd*dV&EQ13D-(?bZNmsy!w=9`&Y7sqCf z7oDY9mHvpI#u(glJ-G{Eqj7R5Wq2b8$2>aRM;@ZICfBwlEO1a|dYAgB#eH8R$3|0W3_cbR6u=I*4E`j$m;B z%O5b<&40`>fdBtjjDO9k%Nbgl7&#*Xm0S#+|2QCO=V${C7ySDN!2njKETT>aj^9Mq zz{UhX0W`O>F#*~en>yRsSpzL>%;>=PjwVhPo+gfTMs_wpXB&H<0fPyiMulZC}9J(BKXf$=%1?u04y!y?kuL{46Y#Hx3m}&fbFkM zF=hb!KX+^Zj(_gJW`8FaoPIF|Ca?>C?s<8E|K#kC3UzQZ!#_1qXJ7_^fxjidC{b_; z!4U9>VF7?Uz^y=0Rsh4Fk^n_H01SV^1&V@gF#PcXTqf}Oud&C$!N&YQj=IbfAFUW; z4#y3z=Lm1yT&4~^|3L^Io^JskpqDlvE7kJ8e&;Vuw$_0Cbw#Lmj@dhrK+aTAFNry` z%9S)RBE*RA7B7%J`7rj%_4e^@G2_wFrZK~%q}nY2OVJowrds``3*rNHJQ&H2D;i2cbWbr|uF zj9RS4<+||u&C-W-_vneD#)|S`Zk{dqqU;u=q(8<8*~_jU^DVhjn&HbUbTi3a7mvw# zacXK>m)^a1=gMKTJ7jp9brqCbflOeWT2l>7H^%z)m|w=#8-Y^r(O^+ntlnkYX1=At z+uP?M&_qGNl$(vcPbI-8i%tcYxQ1v zKj7%JTo^LS5zBzkGmuW3UH94Dfx)CEE+X%tG!fy*h`3EY4;zzZm|v;90%AWA%3iw6 zmNIS-W_&_bh@O`e7HiS`9=B5JJ2^x+)-2b;+F@8E17qwMX}%sY-F16&p&3NM#waJV zFg$jE$x+WDGQcH07Xkv4kvFdkE})k*1j^1aJX77^$%_~T<%@LFZzXYpW%BF$rpngOr~Q0K zIBT*V_wG`1UVeh){2oDee6J~bqkX@AT>-m&eIGzbQEXYhuSmg}@8{xe$WBU6ub-Mz z_}=d9OqXoFf{JXDJETYmY-PJ&r?H;VBN!NNrYF#zZ<}-Ue4nz8H*h16Xnm7_h9%`l z-{cz|>y;_kP$LSj&Wd_urA;7^J=@Gt{Nsr_y5+uC(cPF1 zKtU{U&Nt=9UsJMlA3BWO7Vy0%p0Fi?4-&OmvMG1QM@m>J-pPDbXn23ri0*TgXBdN&Vx8HxJ~1E1u*4vB z+onM>UCV*ksQOcQ2o83H*VJDU^Uc7Xt$(REasU1E8U)7i90W$JxJJHePP~9)G;MJ@ z2J(bMg&-z&w^mVWQEr-YV*u6{&f|T9^Z-R53VJW@8|6u6{`2N}wf1>|CK>-mT*j-coYn31Z{QA-*|xcw<$2$>Un&Q$}i zMzJHQ^O*c>%GC4=1w<66T);|Q?3X3UTW^Ch_%V`_O1l1Z`>F)>cB8UGzm#Oe9w{l9 z!{8#r9~@GUnaSlopkzrRwPeY6s>znyHR@CXY8q5;Q|pukgIwyEKt<%Dro)N|c(_tz z@0E%r@5`le*!jr4KUGlXh<|CaR%&WMd2iUFIZj!-QYSmR!0KM!Ygt_~X1>asB<1zF zEUaQURk9*$T-ojUL<-y#bkP3a`xa!)codE(CUt?XjM0VEZIZ&^;6~(&Yuk2AZSyDX5Him&xECkUU29~)uG5EvGtIIHm`7WpkWR zdOJ-j6{~e=(m)muB_r9baj0KV&R0y;{6T`j zmo*QX-&1QMRKFOSe<&A*AGTwKJ}(*zonisFugzDdtUNg> zTX;2CVy>J5S!yuZOGP^qZ9}#U*cH?veoz)U(ZZ{ek+nQ^jTVAOSH`CC_V(J6UrfrZ zY23&uE4+{M|7?&Kd#DLDBut!Wq>nI)^G$Vwem7!}1ZnFA+Ez=81JZ-vNTdp_qEP~y z%_QUz5o%dhAmG!{prObrK9VVy?2laF7v3_~K@a~BbVEaZ65GK;f{zxs{K{JwPwLbl z+^fXI(S@ORYUa5(^7#M}9}T<=qVbRA+t#)qV`n;imPt%u@<&Vgad|idYy)-;b4+XE zk64Qg4mhJ}II35n8R$(Gx~N}sxaB4R!nHW+Qv;%3EkDNb!|=2G#Cp4WA<%f4r z=co2VmYp5k%uw>#z)y-EK^u)M^c2FD0;j#iEqI2HDDQs=lM-{6nSLo`EW_}%56{=u zQk+t~%Ixq*VSYoEzK5L-C-);efu5r)&Mt4ZEqJRiX<%Bp0$0G&A3JAF2&;G0-Vu1s zo`y7OpROyPc_ujfYXeh8Z-jZb%V}-7GY+L}*C%10bvaBJ3qAiUy;nUmW`>jbi#vS= zdMpjR*KY>_$W!{sua{aGi3D5iR={@UYe2XAclm zs830c=gR=6wRq3z+(eGAh%C8)5Z(8BV-dLvq|l5(4$@CHA>s<}BMoJgmzgG*5QW`s zs|0ir1c`$JNHGb#-ME>*`Gu1)nDXa03MrS^VP88}GfKkSYCcQfjK4)TKt{kp6Uuc7 z>;MEftm!?;7S_M@Vz9o0fUI(ds$c0-HzXqo?I{vu&>>pFju%N-#{Oh|^+qosS6K41 zSsYAY5@p1;JOT!NIAXtiWMSP&Ssb){4rxI(a+LZt@jA(#BlmZbxN?R!$vr+a2nrBv zRBueJe|-Md@EMM7MlIk`Us3X%CcykzB7QgM*$?GPWCgpFIy*OM_5Dmhr3O4pk|Io? z{G~WlT^Q6GT+Fy=nN6vf8wzHdwX2{s2ip8eZ5CpRVzC5R!DER+?HC+5{GX2I@WSx( z1p0+(=oFzG6b@hXWqScC%LPqP@#>*X%mSKva9;#1s*oKDYtL!yvRSg@mr~7r1d)-kNM{+oB-c8v~U?=hM?*UER?@eB*ztOuzaT( zV&kwUygt{c3Q1fzWrm_?6p!mRy!mBZ+|z|yst+^oYuaw)G7-;KK#@P(oE|Ngc-=Q_ zYxTYetTj6S#arv=wR*Be-34g2z_0gR8dh%_u!SrMnmaU*U}x{ni}so?>}WBjJnQtX z$=lNq;HWXlkm&BC-c1~vs+4PM-}zm{-1%O_4999Q*4|*7To@viU?wPil$_fP>JGj~ zqSA(N;jFt!)&jsy$Y{HY%Jl#ZAIRfBBZ;RErQH6K9Jcp4(_&NNh{PuPzpW(83BTDX4ZFHMpERN)@ z@C_-ku`0z26n%y@#gMCgA(1F*kLCNc6Iyv}90yFOg3Y>qLq5l#R9yGDf}O?Bz0_9R z52+CVxfiHcB*0b9FT0 zHI`74WFjULaduFRKZ_|m9E4TTP{1u$Zs|Ao@2TP0y@uYtSuM`nUQ*LX-S}fLHCP`c zS4v~%0DKR_Q~t2)JN%@u^3oa1aQZ+>{99u0%o(FjmNsh7*1&=uB2kBF&Xf>I17-2I z zdpG;Bgl76#t}Gplj@D7EX~-I}j2&Z}>j*iU`gWzUO{M_)7X9Fki(Ld?Eq+A30`pGo z$Zj)?D&;34|NdPDhy^L-<>pPwg^>bOl$_PizpjhpR*u+tHugV5sYIhNlxK3*G53tT zp-<(T6ewbyhrmbMba-Yi4Y0tYfKl8N;GI}aEesQeEplK+m=DDPP+S$#`US`>WtUEL zu%>*}VL3@KR9cm+R?Zh6H*@WQ4l`zhO1sF zkYx~lclRSMVzE5HHf%tsBnYmApCQXpbA;rRA4VV59Ddv{b(*Oqlsu73lj1T(jY6)s znYI0zv&wG@ZRftA{7OF(q_=bOZ=oC%JSCUy;wTCTPja=iIO3c@E0|vzr;Tn4_sGmV zC1b(8i})fJ@QWd`m9>Bn;QNDK~lK(wLW{TUW#wTDFdbc22k^8XCz6kNb?PjwELT?KFY{ zt1>2=O0MiKuiniZE|AkJl!&1c3*3_Q(cCP~yvQz`JV~?RzAuzbhPtyWEMVNp5TUTs zmdRVk6ZeXQ9hD2)n4;gX$Yf%@$zmIspwxURYESZ~fpX+_1is!@cB;js8N(q7`nS`_ zwJ^UK%wq0Qbdf!~;vTB$LSe0knlk9IMHv$XU4^ow%N!-hq@1jXqhi?CTCS_32QR+N z8#J@$evMcAg@3yhvtRJ*%ARbi5-4tk4gdP=f)ei@VpZIJtEnooJ|TBMDGAh(B3zYYUX_z-PwsQ2-Lm21v1Lm*m0VcY4cIUt+4P9s zOmFB+_~cGjV9)ks&n9;vDZ69)vLjo(n^ZYQ{Wg`WHC^qJvwGM6)HqVtH2Lvc`cg@K zliQ_AHCgj%ai6Z~q~qMJMu?5nkIspqy+WI;+;!GMvZ6c2gT<8bUq6z2ywapHaW{@g zPu7w5I*s?o3lBOHh$c7O$>Tivwmg9>UchiKzGbg-=Qvl=A?6X zJWh9lKzD|vUvf}&OLxk!AIpK?op;ZRLVy(96BFy1t#kSX~bCTBrQ&F;FBm zIg9I*vo^=8!(QdcR9QSVxxA}f#c{S(t0S!GSGvPUCAx^#gczB#45DpI{JBEyVPrDvC9u1({%HmhdeLAw6J82&!|k z`y2Dhgk*Mweh?t2_K}dTT#G}PPI1b`2h4=ME99qT(=x}3fEx1>y)r zS01;>%9NDCC=fHHrV#Gc5E!F`iD+#=GLg^&)Wc_q!xzw^EG_67aPW+l**J0G@$dw3 zOKiuSg(o-RW9mwKT3K3*@cSoFXJA~Pd@?5;z}@^NLRl^yEc{lUf;Q)gR7U6)GhS6f z38{XLAIYCl0my0BDk5ay)<^6eflLXpn`w$j4T22vSH~R=puDjb-1|9UTK3lD*A(So zsS$@=TXTZfD!+A7GaWkNTAOb6|kiuJmD z(gz-8h3PyVv(uRhQsUo`$IHj@ID%`*%c|IrzGfx;L`c}k3={Los?#e7IToMtn4~>O za@u-}k+sK(srkh8v6e})&#e8tbn#hu(+^}*qPp+d*!=d1DX%$h)svDhfr}f7P8*1b z&=RxublBRq)_t-|84&p(l5q4Q&AjxfQpE{#Hz{)^>D&c#{cB-DMt+0P zvg7H&&g5Q!m|x#OG#Z{zG6FhUricebJg|CZwx66x_J6{ z!gj9B(vE+(w&?wQa-OSxL@poUuD+!6LNg}RkLBC74I^}y+v=t2g1y7jK?irL%xuiu;$SR=9LO9EW1GwF!QT z5p^{8rs~2QoC)<=dupYspSgVYQADBQ!wvjDW$sBo8OQ8|dUA9emQ-nJVm`Vh$6gXT zAhI&W;p(5}kl%mA6GyS_>VrfOm=eU%y5y(;VRaAQ=Dh9RYF*k-+mouk(t7H|eTa4i zX{2ZH2pRwS>{XQ8n8TekE8|p}3^zd^-VL9Tywj>TAmkgPD0q6F4(rBu75&M>obDKo zZm2gQ(H}HkUrhhf!>8IF=S#AS^JNOhJiX~v*c5viM*nTcZ6^&^y8RfwXp$0HjQiMMN(p#w4rPuUgXyFz2-x+C?)>Eu=jOYtE0#rfW6h2!2!T zvJ3HXPHQ=xazn?CdhOhw5*5vTE2~Qnfan;u{_&^A)`(nEoy!$tt#8g-7j}E{1qEd1 zkil7L+V|7*=o`9eWjbl>p<6lgG&WPd5%D$V$rWVLf(gyCLxhZ!6vt>FU)0ckGOWw* zEEii4gqO`KR_UGIAcbKi)tdfU88qex_zrKnR2nJrMb`J6DjtUWj~yI5O`mI^3yy!H z4*cwy5?d>tgjJ5(8c}^O6D+R$44)J2s&d^n%ZN@^96EHFfDTGlFUWa2Hd%B0(sgYJ z$JAH0In+p^0Q3Ii41^P(6>J$yh#VX=?>QI45BOM;OX3n#ZfN$T5UbR2?wqIeUJdx6 zwFgJa?1W3tw35h&+{3z1ylLdgD)#Q#5z_Zg9;B6F`y)ezD`@hCZ&kQWut7b;j`BvG(yE8yNG5kF;6;i&>E~^$=P=k{!@{vv9IVIk z+&Dw)_j>&{j&psy%FXA)S%NigBb0{AK%tun`7ImT! z>=bc*)KAu*iie(AQZfs~;?_fc-5$|K!dhQ$xQyoX;Ed8ctx}pSy?Qw1B>hz|SAD1EXrlah@*+tbBdK>S8v7HQ05y-A{mTWD~gFrDxcu9N{F$?Yto3Bs- zcYdnRZWaMwDHW&9z@QrO&Q#^jr#+Zglq_FiU2l}OjrB{+%Eg=0WV}p(>hH^vsLGkG zM_#VhV#eiM%+t!3O!3OjFs`d;G$Uf33yjF_#RV+duC(OrJH(pUek9J;6O6=4%FBb- zo4Z%(?5l5!eox(gua8fBzqygD*LQnYJ1I%OUs8p9nPv-4Y3 zr&9z@lxsioSlm(6+Xd@(jIL-!>R4}Cx;bFi<yOHbO3ZDvG{-Zk#?xo=XF%+^}y7 zzcaemHBP^2kkcKj&3Vk1JU!RjkbL?WK_DyQOeK6j$Q{TzFnH5Lv{n{!If zZVF4&#BBndcDI-XE$D{oe}3?=A#8@T)f}m{p)u!M#f&^}Cg)=j$0BKlYqRspI8D1sFP~K)xq*A?jIUzC&`y^1mC+C7^;o$H`YGp?^>QwfI23!tvK7kj; z-O|(N(Qm0NX5nYkw4kG`<(n6ycdSmg*I~2DSXWy65tZUiL4Gv!q_z4In;A8 ztJ{6+>@~&5I-nxQh9_9_mAJttg3P|_i}4zd>W564w&+g*l}QoP^)Loz1UFgMy~jr% zt$R0GPU~Rmx1Ux6hV74=^p!m)aK+W88)2|A#H>G87VzmK6_&tRf4+83p+#TeUBx}F zef)91#?!)*X4+7D=>d*!r{cjkXqjt;Yav z;WexlfQRR|u6FCsGq=l4`Z3?(LWR$2VFY8%mIG>G9w3|aU2ia&^zF3WmIE{{o^5)K z*j=^gsd!A03M&p)0>URhHu2kdZQA`;e*ij9`r}5nFIw-7zPKslx8KzJ6cv6hD(xeCA`6S>yezvuASJecqZFW^WgNUZN#K!E8!AEbQK(x$kfGS zCYOlMIOWF8`bkbwNzHV1HzxqIyLcz0RiRx}E(svVt($Z;@v+lGne@%10I#HZgzgkp zqqw~-5{hnqsi;FJwZ!d?wnSUk#}=j}Up6&Hr={#u$y-?W9O}0$9~{W~+G5k`iGeaQCLwMV6`eA}p(34Zug8P9tUB*FjW-AI!5 z-W*KQ#!8HFB9j!|=uP7OL_U^;U4I~HTcsUAo#yS;M(trOJ(eV$n0+FNIx+dw9?ww@ zHV=nDTV;$m{ahvdJEXE3a7dl;H}&1IceYi=+0GvSZ-z#QX-_0=i7+wM7$0t()h?G( z6RFcEcvh3%r)J!_k-6|mTp?8L3J6A0r}a5?Q^zT+xso}q4s@h^b#1;xYELrFFx-4A z{6NViLRK@k(Q;;3LSixKh>6jh(P*UQ0(5xcLggJiAdT{#F_lkOs~$BNKS<@b#;Hc> z2I=FG-Y2IN3N#cr)K_q6Q#-b<60$jtU4JvQ-ZS4k7ZEZ&-<;edR(JH($T@+B9@Q0GyZ87ez;LvL_X7gr2mjd9}B znz^O8lnr2Q^Aw-iegDLTurhFz7Jz$8N8lBo+u6^o1T8c5%BL~oN_oi}z}oI93}z<5 zoqaS9_?{)feI*&p%OHBzOl{(%FuK;Fk-MJuLkLmp%W}CFs{IG8=wE`(~XZC z-P|9emfxF?1${#iOM!a6c3a5yeNI`uv3T&Vj;fs$Z|8gqFiw98xJdQJ4Jvh zv^C)LbVGTEKO1Hzm~eiyvA5CifEv7Z7J$5i$!+7izm&kjC45IbG-+7cv|SV)V(mm4 zzrEL6kO<%DRSKm2Q5W3~Tp>s?*1%%8wEJp&55;6=X5hr3;kGRhd(x1s_f}H-a)G&N z+t`iOUCP9z$kUgBHrH7Fy{?>QMAU5PEro8Ks;rhPv)5!T@|--qZvM>0$v$jWo@{Y>YXY^(O}l$7BSSTZ_ssUUkF+y|8NU9DA}L z@S(0gwIqDckWTV8Gu&~icHdT$K`Z1W?5dI*cH+WX_? za5TC32fqm0%;8=I+w!PP8$z|b2G(}(lC9uy#nYpR>*ER2ty=$LuqL$dbG^=wG1d7H zN8icameZSjQKUM>-blT00!3nRH%Grp*12gHe>GqM>D9m~5-|8|L+bN!5UDrT$n%{7 z=Nq{2c6 zNXl!JQ#X4o=12Lr2WS2C#^%aPF1s?9jx8xZ8%-q9W-2+R??~PA_|;ylJ)*wBk!&SQ z{#KKe%-3(e#G{-Ho?d;veZ~2)(&KEhzFW4%L@#BQ%A!4|`cUtjd1UGm7_H@CIyiK3 zUBW})xjwV1h+nAm^RB|NVw0=aU8;1-E>@KJuEz3kjL}Mh3$kI7`4{#%>WPMDf#q?- zHSTwD8GJke-Jl|=84}ea3kuZ8t$gXdhNE|y6m2SBNlTbvYMj60Una|%)s6IZNYe9- zUw)s@8_&>E9(Dl&c!Wiz6)(={TzOr72n3K7vD< znEIJjVZ#a}>2LA&W9GWxy#F_YFzgy>;T&II?C4pCRTsaByJ736PQUvXeCuq!o8m_? zE6T6EUCpjny(>flS5iY1jPP9x`eq7nb?U~DdLLs1x=vVJ*iDzz1esd#4nm|}!z)n8#3yyOzn)=(_=Oy1;)r(fq7QBxZMoOCKgK49 zY-Fo^zyE~#%VgR@R44yNvuI*yY$a0Ja9!>V4;`HbA)6aw=xo$VX}6f$<67S`4VKo&ZBfHsAi1(i+_ZElN=rGj9rYt>_!SDD+_}^q(mDq8r<{8F$+6e;os~- z3Smx0dPXLC4h9Z-1||kZ25NeGa_|Yp$=DhH4-ha*@E<=dTK}le1e%V|b z2KLNV-ZrMcV z$8t+yAN|YI38LLaBdWp0snR4{9&v9@AAk<|L>V(V)`F5`45-&f161#Z$5Ixq>|uer zo85<_R~~kJs%yB@yMxlD!(TIKy!SuaH=j?3hC-Z;{-T9PyqXr4d4~H#(a_*S-km(= zVIy~6MA^;6=r+>=fl_Rke{lRu4W9&Xo|U~E0$5C49W|t0K3MG-Eq2f0i;sQYul}Ut?8tuao3-^ck)olvF15e8;Z#7@t}jbLR3Ytn+H*qa-I~as zW5do@Q&TI0EIK3po0tR1B1{p%M@i6rAR2G(Sy7;xe(ACI>x+*ir)5u4Qj%7)Eioz7 zZ!G_h%IfM0efMEqVgy2^`YLQ>N+QWkl|O3yUB5QBbk-w~w+KgRlqqMP71{kQXU_%^ zw|lOtVEK7|0P&%t*+C{!V;Ln23rov2&)+=V3zjJ>O2p1dTE#;0mY1o(b_WJx{E3V| zam@dyv+wEd>>Jz9A9<2Rg3Ukrf|1IUCEI|1ofj@6Emh?Rz;1k^UXM0rq+I{_ryZa< zw&yq~+B=}J3?FbPs@>)sehR<-4z%$%t6K+!f{&sinCA#>gh$1tSLhD-B!A@W;v6!=w@mGXiE(0^rHN=$QA z)zpfx(3}HoH`~v;BHiKt2+^%~cqGIRu1t00IWK$`l5hTEyLoxZ=<{(s>94b~@uR!< z_xDFfmM{Tlw(6w8F!+`PRr^Yfj>-uzLXL$!>Hi+fn%90rM-cn8|&tR|7XWB=22 zo~4Kcf_`&6Us#ha3jL=^J~<@?JUTFtKL($=4Dupe^ajGs5u*R;3tDe;ks^$j)uW_g zN5JTlSvP-_?6qJ2w2t++R>)*fl5j`nPnZD)1MF;BZJ*Qm6HjCQhywU!u*=;k2$lHp zUEVxO>Ik-}{dK+MbgfkhJU@_1@1_9T%4Y6QC(S^;E^P|z!glgMqBYdGk!*Gw+B!N# z?&@_Z*iaPnA1LtYXlWJ5<4#NdKzhOyx1tEx%y;$5lnVpl?=c32j)>+ZN-M8Mf%g7j)NFnp;leKLluj%1yLR2~aVg7BTIdjsiPzV+p2aKqp!bWV>wsm(`hv~6qm3mFsCMFYj)P2Sh zd`;*|p`(F-h@2mG`y%#pl-x?RGgDdZtM$C3vjiRr1T*z=Nm|9E83d=OP8cVq3pt1g z<;NI-UB~SD`q%sqdCKA!H7u~FaLch0wd$GK+1aV7?p?iZldGnTY06Y&I=cQpR+rpQ z`tM?1e{~ugkt5iuQV6F1q8 zivB*wt@Ac#bf-H*Tr+$c(T68zt;F4&S<1Bkg}m_+kGS?mkQEv}1w5j=uBNywuik?6 zAq{E_?PP?qBHEIj&tRAO4-XH|&*@DMo*8w=Gf0q)z-DZyVHU)|S9XiFU5p;tPWVC^M|RKW#rN#~ZMPG;g%-?vm7_PKt1rgS*E} z`4#{q)#;`mS^2!~vH7h?oyPV0PyxgVDSotBF3;Ui3`0Q1^(|@MIWGih867~g;rnD0 z5z6eJ5{-ebiH%$qxTTMDjzjCP12K1l#9a*j>MjM8SVZQR?kJ-~_+2e*ltoH{uBX%X zIS`~^wCBp+cuFk`$~~Oe^%9Oyu2JP3OnoT2hITJHSUa{dy>dbb#2R@1(9nqAdHS2( z&xIxkyYAN*)-KJ=#5&VLLIVh(dVDuL4hV6Ozc)lX+xm;Qc-~OCaD%$g^bKS_ zXc!COd3t$i?BscVPxK9P7J=*tv4)56%D;F3uX<8?c55xfgm?hK((&2Fq$C^=cp@*) zl*|XnEod>ZvzJB#x0p{%0I|64qz~enK94xG2S)y|hWJe%a-B)zvUh?_&P;9g^AxS=5bcMB9p*G*o13pTw zdHSiN#)Z@#(bTONwdm(xko-JAn-dYj zTR;YoJiZ4W_O{m6;(R3N9BZv$rM*H7lRMU7Trdj14Hv4@blD`xzJX}}y?q319UoU- zD?!Bt4s5Z#VLg4ClO#+g*0F;)0~ZS-ult39NHP?{ztcd2GVI4++h>c3+p+@l;C}!7 zg+!?PZ`aq?txgApIhbL%q^R8(2q7&>=#(QN5a(mm)y~UYU`WpO4irWJ*aEn94O}3S z)8plO9rzG*bnp@g#EqOoLlK6-4Bd3^#zWa)`;*Kt#&@Q8h%g5oUJyA2L|!*X--Cl; zKw@wtpZ`?Hy{)~SDGm9~$D$~|)VcFdPSG_63Fmfv{DpG$TN)ZjpRf5z?GI2vk1*4} zSF%ArJxvr8lr3+eFl=KX|B*J=lXo!vKNqW|&~6J(IIH>_k~@nNyOJgEpJ0|8{>Uln z>E)!1ODdIN*AWXN))DhFcY-H`rN@R#tYd+ChfkyX9b(l_>cy&VpRnWteRuFy05XH` zi}^c#TI|N?{yfR3z3bru2R?h<3QAo zDXK)4yTfrW;@SwBcsPrz@F$+QkdRP+fB#yusKPL%33Kc=u77!Xd-RCkfOb@H>8o?yfG3&VV}U& zJ)}sIoI-E_9F8^9q5X2y<&JwesH@xJQsXk0z(KxPAg14nB#Ll;4v0GInz2g)fh<6e zkR$x8&|zVMc{L3U!WZZ7MyPbPe$9Sye}DCz!|sSPimrxT);87bW* z`}P5X*z?7xL65-#t_Ot(DR+X;2oK)6HqIY)XdxJWSGcyOMt*I11!F+Fwdwj`DO=fz zwJ_QTG)IPfz|VzpamEj}ih%QT{*)nW9lr4d*%px>4?IAP52M&;Y6o*-!p#`Eb(r`l zbiEEDGE@h(LVEy1K#cyqaoPH7h8OXzn9+M>lV7Llao^lolYB+ue=7f zoWV;61%YmB*KVClN^){(e-5vY=3`pmIk<%Wzt>{;X7F+m5vm!F(o*NVH+M%DQ9|#h zt64|f>I|9#2kqhx8b%d_T1JP+hXwCo1K_O-e9&6py98?40cBouDYE;1d4R@3)T(uh z^u^b8A4daY|4n(VR0`cJ`|@)v!J3w)-uv}Tyx$HvoAguPjwu=o&A|LiZzU@$tL=K5 zh!A-D1Oh)-QcFc{cE&yqX1XI@9k4t-kBDC5m*(E0@rp>M4E9dL zO)E(~R#LF@v?=3r(;tE$>xdzQQNXoPH(^X0XVnq1JzUymW3X_aVYz$NZt*^ui!ZJ^ zy7mBxp+lkln-6q>cG|x}5$+%@f8jYf`8l|}cu5(w?RPahUjXTJ+q||e}gG9Fc*>pnHm>RHn5ot2GFVz&47EA)05s=oL*_m@*U)O~{@Q3rwsyaG7U@i|+7cz+ z-X4scn>G}e7ggHnQKwljsa8`9?73yqH=EC??wXs4JGG!ou_9D-RLg91UCy#D4Oynr zrj`x-K)>Yco1grGg&2~U`(2zXOSVLj^7K;x`YO{YN6zZ8=dbVGm7bTq>n{&}0ypZ9 zgMxgCzN5w`2U)pq&L|sQ$d}cnSgF2B7*|^>)>Q*vh+z_hojAH&n3{ZM$i+uOrpLJ{ zi^|FBO`FZW8V~mGzcUfK(%`OC4?lZ&WX()2d2KRe9dj-!$3YAks$ZZev8({CjOp(b zJL3@Vf`d)z`LO>I8UjS- z(S!-MLu~5Z ztjbQf+Wm0rwxV0UJG=h;K8B4p88Wp%fATP3+$h*PQ&~M{(^$2i?p#EiX>Q#CejMQ8 zhKry`q5BHrIP-lJi%i+jO{|iOmFF(3R;$vE^L-yE7BxA4?0;v}C*-hD&Bs=|s2$_Qob zJ)+g+aue1u5z&GQ!Hd{{JeF5=Etz@Moko)zp*WNTIcm{w5gUn!J9^TnjC!v0g*mCE zYTHqbPFmS?oZn~4Zo4bfRF90l;{dJZQ416pj}`{yO@78#ZQ_~JdoTO$A^y_80N%XU zak!{90}`Kpi1)-T%o*tczaA2N`0#;%Ab|+M)qIiKU2{daO_sAPO|Q{iPHM*)Vp(4M z=S1!ivaK%8b?Q<7brNIcy{O9C@x3am6h|0#uhTUaB6WMQ z85Y`QZ{zSn{;tm8b>VtDPy;-xifT0{jMJ@E(i@+gc_;?FHAQ{lu67iFk+8#m?$Y}JofC>yTy&Y%iw7ntyw z^=Fw@%X2461h>b-=u9fs8R(cM@Td^J9`aPc+?Ri&cwi=|lR+%;PCxHC_NnbvO&3|U82S2UZ|AL)U=Qfr--_@c zNxrT=hzR#bOUub^c7&gF-^$bAmN_`KzK^Od40V4bCg_S?$trGcUL413iA57hw059V{mi?31$sXyRKGR4YD1DTU1x9?ie{9vcH-9{dNEUwg2>fIp{_vR z;EHZpBO_vCeeLZyZLFoAR+$u4-q=m8_JC%$4MK?swRZbD|D2%#qT&?_GSA!zt+No1g12afhjGVMS5rgJ#|M1Yendqn9U2}6xrvMvBjLEf233V1vYF9INI7+) z*X3b@hIT})Zs$^hbq)OaqU7w(+dIZ3;X`yJqIB;}sT%u+Nu=F+v<;s=jbHx{e6AgeZQXYu4yQqE5d^#=e{GbgS`OGb9f#ZBZ3^> zjpm)7KwAd2KXXxSG2OZv#kTmk51U|26&t^LF-kDa# z+fO2*I!@e$;6<l)`2p^~29t=*f_ z{c@Ku>o07yp5q+U?V?=M8Ja3;_L*2IA5}k&_o0a_Ibb~{SJ5kWA5m8N#)~f87BQ{e zVh>q1Lr%AmnNu#)f);Z10gaYsE4vNn!lbBGyQ;ald7QUG(DQgG`iTAiQ1_NmaeUq0 zV8=)TbVvdOX@Z2{!7X@@;O;KL-Cct;3DCG}kj5Pvmk@%x)40341bB=5@63IkJMXNy zcfQP;Uip-w>g>JGuCx7Dyu8H)n^^$=unzB?=SbNghh2N%zyVWN3hshMLf~ z25o-yAUizjE6$aJ??`Cv&RJgGrPbR%Sxm3zZ^SiFO8$ zTvg6q5!kvlG1Jn9-YB=b%5)Q(>K0QI7ROAl%=D{m-D68^F zd_ovx$iBr4cKX1OVk@Mv zhP9imsbI{+jerp}FC?Bo&Kgaw$RM1Lbi>*Bj_kq5&~>6d?c83`2{Og3G`aoU>h@or z-xgDIm)s~CvS{7|c~jQxvlt+Pz2`gf_*(Xg+`-WM<^jMp0g0v}S(Ovz7iKmF(vl|0a z|B{BcTq3rDcLIU?VNhrQ$BD&tFTmhnkIxKN`b6U)>2&Gn@%#H7rSr z$)BP_Lqi+(vzk1_c|wb{xwUSmUnt%pzsV}kJmdy{1(GyjZI5#Y)2n&l+wZ?mMb#;M zcr!AY`VZ%+>f~BL;@gV9Tsz%`GL6`PzNCDyBC3zn(J5=JVO2=K&tdkL-`z;+f>H1o z7PviGXe` z={?Sy`Dg7A-*i1({AtX;?|4^cs7MP#T+jDP@A6kLLzrbqa$^^CvmLcfP_UsXRR_ys zxKBfHx|nS{Tep*^W0&`*6S-1zRAp6xVP2vfO&49tWD}9N<(+$mL z{j3qRbu|0>No(&oILt6Cq1E}>mtE_ z4p*G5PL(hSpPUXHC{E!0u{|_ybG6Na&X~sBZxL4Xilf1jSre+pDJZ3_S$p`NPmg69)F(G7D97#J?05` zfr=o{1y|}nR(DT*@>lAY0h|K@*AM+3C3`7umIyn&*scxcgOG0lCPOk9#m`QTfu*2$ zD#14$scFQGRj$l&J8i4Q-YC_TliZjgw|Ci>+-b0pYEmO{X!GK?R$78f#-d>>GOS{l z^*OEhx8VUAw9`aA8i#+_Tq>yxq?PpC=>DcgX!o5n>9kZ;hRS{wL>$uY0$JM=&;9JsVdtt0t zYb_s5Z=bjdd6to}F+5G>X4D)y{HVB*BPX}Ov~OJDc*7~Th$Iv;I59yNSUUSIyEXoMRIc6Z7+YfW3RD?_?}zLW5Z3_VmDqm|Ciena^t_|T;x#Ys0Kv}bhq{v~ZNedp*srm*iJ9se^kRFE9{WebpBF}kHBFoiwVZXeUwHH1v*$G#3eHBX|Drut2u0)LD_a z2Sr10k=E7TwRG8m>Ln5dtt~hvQRBLrmiD*XCZK#3pO6qi=pX`Ny{jg#{6Lv$MUV{pAPdL0djpo)OnN%dy!P&W>`i@)r+tvgQkB{4Qtx`sv zkqF9uIY>)*gi}xIGlTfM??tDJIn?0k5L zN)!~@_$7*(X{y1|Yg@RivGsA3lNC&*Cx=K0U52Spt>nambKi)G2C16q(=`@?u*)x7 zI-+bpQxlo9XLZjr*IzzOYucCkJOzNzi8U+2MP?${*_lt5ZO>b5{%oG?^xbRt8}Oqb zw#^*nq)d@8Cs$t5AFuIPGu5frZq$ZP?8n4Mfo*P+#SE6@3r3JB;qJINh~K$dThlv} zU`Hq{z6h>=m9@Pdlm-#$yDpFsZTORA-tV=lrh>*dkgG&V=oY0+d6=hw1rz?hq{r1( z;j34a_2w5|YMWrHMZAalp#^WhtVptR-3Z!~){3On+x*k~x7X_^tk+~U^N_dfg>TVo zrGs*MID-y1`$B`AzXho#ZAC|NBg3z>2Pn>g=gUU02zhLm9-n_p+`JHN zRMq%-H^vu#2MP2|zbb6)dH#E7Nba0$UI7TGu8B(Aqm+By+NQ=cjZ!jPHhk47%JDk9 zH5fit+gOOva3f3A4l@qGG(HMk3fvBAx~R~@_9EQ%2fkdMnGQ?N#k?RfWxR=&M3`Nj z>xHzti&19umuY)erHb(mH`(B`ys|y?XNk!vHx~5B?79aGaHb85Tg66&xn7sXo_X-oPLhLn$&hfMIu-xfjTA!==xFGGPorWsoU2SEDpu!>4Ch&aGS2#?H>{hEC%d`OXkW?D$9K zr=Hs9IHms(3{_cV=s?;(R>$?WQsVuzbS!?awER44RTwy}|f zn^St%-Rx?Dgu?LY_s0Nne!#vCX!pud2nm_h4Ytfy)ph6jl9x+GFl??G7)@ zlG#ebpvK((l$u84P`u&T3IYL2=*w<~!>KHim#h$Jzv#BS@6AbLkzivhoa~&e0!;9* zOc6?|$w#mESY9*u_>vD2LtQV!++5D|@ z(<-yo3)y#0-b}Zs+RY+uDqVXFQZg)~HwOkBD#=W*DRJAALZ-jUvpF0mW+9#wJGznT zmxx*xn^_Dfmv~(Oo_e;Qx@5gOL(B|}Mx_|Y4%KnUT_78-bw3_fbZxc)hqh3_iNbcQ z@%6>fLGLvwwMRgGfS;1OLF)qQm*rgDjg}Iw-M7=JS-NxhaHBTC#x^ILHyXxll`rrL zk5B{=f!~WC68UhV&r=;c)!1V<6%M?+h>fu-J|ZhzCh{a5LO1A|t#LVA`t{5AM3pPw zD4Sy6Jdx$kbo%D;@Yr`~ULMtE&NwZrUn`HcI9z@!+I4eFe96k75x%>-YnWo3&Nnk~ z^R;9NC^cz5H(7Q$u9rUMC`xm--KP^s=*(pb6}=QM=71>gGH)Qo&50g#v8EwJ94F5t zrdz@R%LbT{R~OQD6<^BX>f?apV`OARPC=3L>G#g)CnhfTni4G~v6dFNrn<`qN?X#k{=4}-Zya{}#Kbl1ujQ_$wI$&QE-D!zh6x%(C ztvmG^@u|0@(D_z!fM0Q+&ALu2Jl++E%2#gS8=NUDDwllA*8P1=ym!`#)2QMXA~U#g zi?xN}vpH{GyamsDpvR(lb;N>_r#rN?E3fPPBw3sBhMzDBsvQZ?)peBi=d&MUTC>_3 z-ndggQ%J6w#P;#7iRyOhXErP|0#xW+gI-a=PvN59=S!;P+EgA@j4Mkubxx(&+Qw`< z*Kze&7#zW7ZGyC)Ep_s%IAygKpEI>xD5!MU#A}%6Sr!|@&yw@jEIw9hNwO-(1E=M( zexXZlb#I;SoGd!)ADN0hKfQEbp3@gb;6}l)5I~Vp&p~3aJ5Mk5uf{bR8tz{==gPbs zd@OKfzghu(H-vjf=9&T}XDi0|0!gRxM%RS*YtJ^Leh8?h^F$uZWKMgyhv2eSuk$)U zebc*+kplU0;w;v+R7u$A$o`0|&=ixxwko0xGqFfyo!DV`!B3cYL!S4mLb@p8r9Ug9 z)60I2V6N!YyN;nQv~~D4CN1#V)6D3gzKJtaRMhwr=s?;EzXHXm;f$+a*Dmco zb66(eaf_};#X=w1tc)F&XIRm2GiK{XxvNT${7cs$s;os0_4|C9@Am=PuOf)i(^9_2VDz)Y5tk3Irj&7ushckC_6M4OFiH?EPql>GwN~(NSSb_HfJWtkMh@z7=z>cdN7gJL~EuM3&3JsKHx+WuOQlXf78rNTnJEeYU9hVPQq7-TMaxy-*PKAJ!nwhk3( z`;PEQQ%Nxf+cua6f|G`S z{*0!*00Q;(ROz(4k+S0&cS2M*wq7P{`5-M5r$uu!GF8K~%Pw*cY!$#=6dwe9ti^+a zQXs-stv4%0Pl`&+_L^!@^m5lMqJXFuwK%bTRny@*thWVnGK1?{vuXY1CuxaL=Er>^ zXWzd{($43iZ*)TQ`jndV-}R{@3$HkFok$=-@u|3%w~^Nt@wo$%#i3#<xQR(I??1+5r4p9*yI+ah@Y> zcJ^`l2f`#K$koHa7z@WE+d`#mE^H>qm*(PrG>>7KUi^nj{5_0sfVItk{c@4-9@Ba& zXcMn~pm|lE-Zj!64R+@Rn$9S{<)Oiou+OK5!ZDj^v+^~Gd}J9yJnbe7pZBSMH^sqk zO=amdaifY1!`~ARtI0I5Tl!PYx+5SsF{*=+%oi31=7AHwxqorWR70#ygqDl<_N+Fk zuh4YNX$TD0cqx-Jd(}(Frv|XCMV{dYazW%7dJUN9(7LK4VZ}hXB4csu;>gLoMfpDS zWE>@*Ex<%5L#2U&SU`H7yWH^Yu)nJvKT+=Iv2%2ncgM4A-Wa1i=#nRE!ovQ@^8@(w!e)}Vm!4Eo%}OxYs?qR-<^4kqw26p zx*=T2^#z6^z#`SLQ8Gw2Kk&YxD*f{Mc69u2n<>Ubd;T5PkLX#owo8hrSMu8REcB$i~|D?jKtUXE7kE{PKF^ z*+Ue8w<5HCu{fY7D8!;Dd0O2VGHIT4;!4u)pFsv07xxg^3Ah?Y285V>2fEKy_FdFW zXA)TqW+>yA(u+|H$N)?OQ1yyb;TPG zr8C#R3w2#xJO3EUJ)o^*c4+)Cj?(UuK?Si(Nq@Q3Gnxcum+0!y{0!H{o##BMl31@A z$Mk3^m6LYlOxolDwlsRBgJKRc#%y|FBU#530eH<$-yDVfAALCcJ+%gO6Bhl4CK13| zr^;qaQbfnQsj9v?|=L{oIxX>+4vZZp~0*;!AgA|i;={xB@_;)YHfFHb~(+ptid-0RVd`w0nzHw@8I z(Fs*QR6?!;FVjc-K(CkcTodZ$dXc*`?D%8)a|ddNW`@O5OoE45pb@?P3^{+TthuzP97L!AUqYg%`lg%@` zw+AF6jiu7TE*{@T-bIcDwXlUHm_GYyg(&@t7g2g5NdzvB&K;1Gwa84y;179P#czhpT27BG5{>8(aTU>Cjv|>470_FeyIc9 zV}-wObET)mC9fWzrh;C&%mxK=w^4Fa85TU~fifnY*l_K%A1xD^#IsbgWn3O718l*3 z7k~fuKT((_8GjXliZ!fs@^+kU;2p%QO~o)x+6Uj0!{H&Wt zi}unt=%5W#3mI;F7d5CufE?ttO)csTqatkj(fZyD$XR>9gb!uiGi|xtPAI|79X>K% z7AGzKK#ur(vnUKEu0k<2YD{&%lcR$HrkYuzDnrhH51I&Yrt;s@vpm^Y)j(^%<8wlr z0e7pwF?rO}g~Eqx;5NE36Lq&vx`exZ9&_KY%wAZet!^Nd6;2>Njbx6>U>NW1kUIfw z`|fI-PIgJHrnKAOTkVld{dqnhSbt*$CV_Mdu+3nMNAG>lL%bz06lM!i|IfqoJ#xLG z6bIJU|1qAO`^0dZX6igcuKe!yzNQ!Zg}rdwC!zjW##)|xo4yB}furiq0C3CXW)^6sZyfrZ@_X-D?Seef?{#LM(6* zfW;($MV+44xm8ppe(8apg}g6MZ|jDFo1%6E-1B%mDo?_-C~>pBUE@rLlYP@`y~_aO zC6x;Pky|9~X&1LdA`BA)}}HZu%AMz zg-C0>?1@3Ect+P`e|lr?5Ppb;)r(Pa$sVpKL?ea`V*$5mwD02D7TUSUX)XJ_M^sZN zjKqC|ja%I%97wx#>@Ds+Q(%U+q_8NK-+IlGd#ENQ<{|z?shdG#ztH2zDxbz$l>B0y zkThs5EG zukOe2f05m!~6W*3Nj^1>QlNx(nkH zsK@*SM9X``sr9drmkv7E#IobF5)&A8Zz`(JsZ-#rx z&t|0W10mY{;5T1Ap!wT@*3-Wv4?C1egbgBGwY0ln{$>E*cyjGnebSwpiLfy)H*UbP zsGfcu&8!8d9x#H%#v%lETEazGB~M)Z#Q8mpYjDpz8J*6)h)<|c659PCu8`XV9}Qs_ znFz&+!wmxG_3XW}4#M#66Q?@s9m*&`Ly}{97j#CDl$Ddy?IhRX^UW{6@o~EHS5(2S ztv83P!N#!G*)qh3gi>vvB{hW{pqORbzboWq))L!rVkp?;A_~-difZT$Sm24HZXd!x zPg+;{ZyEBg^1BX5HwLmg6RiAK_6igROlCelObpS6giILC7`LVqX?OO4#U{wNlEW!) zvdn(6v&fsAj7?9|Xh}3LSXlxh;DD4z0%Z*nz~CPhWHQd+FkNPSDV0jerTJ=MVr=7Q zDj`Wj93A4ea842tIakL~skJist{v6Si7jMe96dW`8fKSYC4MMdhmDZyq0Q=8SY*6{ zy`n`ENAoreCC0xJGyyDpClS|h<&wE^KxVI8Khz^|{@y9Ss{J+k;l~=l9 z@zJ6B)-qQJvb!5fQ?{FNok6IG)^udv4b9Z3zKErtGR}K?{pTS+P|NPa4i)3MrpD`& z&rk-sR}R;6*i@w6-i+{>Yy?~6_FWtd>gWtsyz1+FU?6C_6@|HJtcZaS^}z<0y1Th$ z(QKj3NcG9Bbs7ntvJ>kRg%NPP(`xHyWR)<#wuwtar~nfRv_ypJEjCf-FCPPG{+xzm z{E*d&XfQ?E9Hn}c!iNCG+V&(`J;5%EXnBnfT`9hL9_Ac#s*GmbY3QTkc?(2ZLqT3e zFc=K%_o5cwG8C4>vLkRjGW2Q&)BA^QzzK7{2vb5x+ZPZ0_i!}pw^Q6E#=Gy5z+>9K zB@r*Ko{~%UEj@F)+}wYrRj;aX7--LhUm+LD?&>VQNYhYkA=+Y7Q~OIr`jjd2+DUnruG zpyYmxDwxTK3!HuSZC@8jHn%?2l#}4$;x2eylbd5e$51+l$6|iZb^?ci1zVQx$KI-l zlHx}3EC$wKzxsnhr0l-V%e5%fZN@haK!w{Zgk~NWt%M%(;?EY(xKp;S%Tjd!Q0vEH zv%9LcvgzAnbz$Q#QmV;WhKpkS6#Qbqm^42c4yL#jxjVQ3`qqg2sc@Z;$U(pA7te2^ zCCN#(w8Um;d+$}Dm9GC9o?V=^J;BiwD|aDYJV#=`#8MQ3zHZlwUoF`XYPU$rkU+-G*z{~*vkrTG9I2=2N=5#D;JUKZA^B~ z^3z5^_2;E9z~U+>PNIA%oN@-4E}iW1W^k`?2N;ldrx!_33d8_%N{a=KYzv3s2*dK^ zMqf_m`8R^dlu?dC>zjtDP^fv>O-it@UPeD_ACP$Wyx&Q;Yu@vt**%$cf!hATN8g3X z=2Xu=E;%|Sz{-bI*XC|jWS!PA88&3CDDRx9?)|yVm4nxj>0zRLiHU{@IBGmHb?r>P zlll3rPYZhMN_nw5p-*+G+28_Oy${@wvSq#`z=(ps;iyVfA8R9-ifV8X4J7g_^)gN1 zR)%hTuF`9zSf>~4KznH{FMm8I0njeXfnyq+c|YqU)UnXQ#vL()=?fmq|A6&T&7aGvge!DO;Bc@3g zM}vi$L3&8sgAU_mKp3 zELIre(RE|^x_7lShwQ>YW!vw7kwoD2-n7<0*}-X8&=R98nn&Zk>fPiwn&k&ZI_Fv$ zY+pNPQvuC)Ve2)(^*$fQjFZmsyRgLs*e7^$wm_^Bpr2RD-vZ+!0fraLs^un<)o^>`GASw6ZS$+T>gBY=)9-F%+Q(l=UjW-aHi%b)i&l7_USetJc?C3^ z--L}z&Fam-R+jDTDv}Fh(H#Yd2AGyZQ>H(pdHt@5E9~jvMQIapj{2U+a$P4~0i<|1snQ|Q^8G8eM?ovkLj+UsRqk;(jV|Las-e#&B032j-{co8S5RO3CCf*@8%ekVof@+bgF?WfhrO!2%?P3 zl21lMsNO~+$B^ayPBnjBjzQ8Q)`Qd0Jb?fh)m&U@|0<|KY0;@v9{7u$P<y(r!-G5P!Nuo=5WpBSchYm$1SDIf)nzaVe70w_v@jB6DO$_ zq}2byP*7z2xb;ISkxpKEd6bCavUwrttWeOUS?!OEqXn;3yti-1PBUvYWK=jbK669d zDlCMH25bo%&w^DxyvfPQ0mi^m`WxmsRU3QW@|Z;JNUQE`Z)aL>Bv{@}lpIqGTEC|E zP6uYxHp~{+Q%+r2wTgsVO3%He8jXy}*j3T;cp&nIGB+hu`^MS5P;B%fnB;bhP^O)g zxt4unGz_NVebl1CcMX-xOe_D}qR-=5Yn=C|Mqn5fIu^-3vazcp+^9K2Cv7nsZbi6n zuq#8{NZ|ie+sS6*Has>C+;O|MqpM!WsT)SeLT7(e#Lq=}X>d)Y6tZsZ&-C$P=eG<# z%l(Tpnx{~vD%sckv4Wy#*>YV*_Iyaq#MuUq64#8oJ+T1pv_WlL@Xc5d(VtLq<&Hm- zP?eqLb+pnoH>bTdolj%bJY#x%$=xQnK5xA)Gd}#mLTTO)wZETvT0IVEp6@!GskH|Z zm~*Gwzj|I%Q|FkQ&he-fY+hh2xLf_!$S52=PI9fNO3k0&EL;g8Tj_*qX&n|NVI@Y^ zQw(tj;bM(b;>rtT?JM-HR&FDwd!r)NXp_zw?9cC;De~=Ec2Z1rRNv1V3Vu=S>B?`O z{f%J;mFv-Gd=I(_RnA3ASxzr!Waw49y_Rq{{#x;73CcN8Oz?%?Qb)fC5PmA`nN?c#o-quA! zg(^pvzIgScB&Nm@dVQtA=YBChus0GjsmVwb1C!eR+$PlH-Kou%YGFcKWieC!@SDL* zX((2@g>=iH1$X@>^i+oW%qYJ=7!h4Cn`l1s!#u0ZY}AS(al7j(anXYEWGACkEyKlR zbb?d7J|>h8b3w3Wu)Au`OWIDC??Vo6lRmF@lof6X$0J%35hvcLYoXRn?8~%-VWkIX zutAf@0{YCGPlwP3ym>L|rr0P~EwB$QCdq8CD58MG+Whcj)l=CPSqIV=G`Q*tY<-By zGkjQJJsTUP*bsYrlze_2K}k^_e?78PM#6%DsIesqT%Xz}`MejhH?gDqyJFh*sU!;gruQ6L z`?UpabLY!Oae%|w-ZvntGEQ zNoarhaP^^7fDBbniVBmyLN9K>NHVKqB?S3WYFE>P zc1Jj)3crLlm_76`%Rup_Mn8;wlu>XBWhNTjjI?H1zaQBvS;QEgQio`Y!sT*2Y7E-NNCg#X7PCTgbRsZX8fiHBnjUO6s=UXxoA!DA$gESjCk)A0T2 z*DOS(cw}jl#_8yWS+N^0)F;8H(C3GFt%;7flt7ZCJ>22y#B_w^6ul+_jL~o;WA7KI z;FgF#lb(8awOt~?=fRX*#0&4xi5yMMRiBEg&s9gQMJv~v=r13BDT{3jEPQ%^cGVX? zuuer-XFV)f=9`W%%=IvP*%V(vQs$T5m>23|7(iDc{J=E;5y54oDDiyJS%BtN(|(%_ z@ijg50eku4*NM)sKxU3!NOxxQ)j%=_>CK8*_at-9WSp>sK1#;DIH{2-v?33sJo_`@ zti(Ou*^3Y4lg3*fFSYPrEDb>Yef5m4n{Y(zE{*`FvAD>N-OiX27k`}My696Z6N7%@?6ErlR$?#vggjhS7g zjw=>?rvjjy4JY~(0CEA$_Z^peZYY!Oe8|kIgU+vY5(pmJ{Adl{4%avHPt48X) zfJkeaN0gBaegFgABP8&E1R%T01!2p1YW@2ZkhA^Kir8jOpAIE%e{b)~@n!C=CVq5v z>pzTXdAfOmsPlx|+-_t!d7o*{83~R}wJYZ} zy9ze#5o#NGI+ogw^f>V*!(hxr^Ah6??%RWfD$*V&sSXHF3d`o8RkKN$Ma=-~iOllm zVOF-yqZDQ-=GDNkfEx4xv*#r>Fo#F+L#^F2=&tq*n6IoRPDlzVZ$5Sd^ex!hMShzaI?d~=Z$vW zp5!ruFD-w9TNxs|vQF&RbkweYREjow#Sg5{T8nmSiPEw=;~2EAzvXeOq$#}=m8gs; z_GfsTBFc;kHL6H|;% zpk?vuIU0&Mm_=J0X-q60HtQnygo2!0lMTn21TixLSc3B`UI^etuA1X1(-qKbd6a80+p{Swwfyh4SZ@{4|UmPa`?66EEvowaC^7ugOXIW$cbUM%y34m;65r^iyVu*MG0PXd z0Jo`HrKA1;f$y=H(#I{ySpJm2ZEY1nY}Z<(Dt2l2e$V>%wX1)8v~ctJzrod z`nh^;Au5j;`?`f{uJF4m_(sNBRAqExsY*InxIjoI?~V4=!*T?)&o)_|NfC5|uRe?N$3EW$x(W+>#-k;1e{3-6>lW zVWwbH$=@qug>6PT-L_RKs$3YQbkEz<(?md`)uoQxzH>2t_wJ)eJ*tytd+fMc+El_?^U z^)!}%FtoKIZ6>Dp3kaCc#tLqe7G4Afm9-vWFn2}oRXz%g5Pc6wnLFC6)VB{$6F#!} zleU^GJ~sBy^6<>xV)Di55^Wn@6Vxuut2R$0vU{_b`s;csf7Gn6*7(G%pJ)6yJ{PjqJ{0uT_|r91N=FkD5W@Kep8FNkzLGtqh3Y1LYE&4 zsRk@*(&$e5{OgS4jiF;vnT+DkuL=#b`2!Y=#mx>MdBVS#n9|r%7s-Z*s|n5=ycf!B zQU*FctgdM8cMJ0rRZCd_{iNv=*qp@h$d*H`2$*WMny89DMF1c~d)bs~DSgI7LKg}6 zazt*1roQERMCIxBhTb2I);QHu&=RwDj`!ecIi=~!aNSk(B)^!KDV9#(Vt2pSpzZv% zKLFNTK~H~tfrc_A*64Nfluq0-9bZg?>Ib{KXr4UX-0Ipt#D>kZpE=s56q#%Ge~)#4 z?^81WQmoyt*n`Y>T&i^#*^?mqy(hEhY-xe(*nYn7k*-1yVVSKguH4flMMEggZd_le zE9%;ijX;>M?ih6qr1S+wo+Ss{Qa*o#EIq|{iD!F(^#2ioi2*0d9<+mYZ)s8J^c7L| zXN4WB$0nYPPClDa8dOz$>YThzS@AK4-nBtW%AEKuP?}h^lbklQRq^*-1fXUvE^6|h zZ9m1TzcmP5I|?&SG8;A|6PizJkgY&NQtnE6#wslW@Nq!e#=@fEY~(!5`$RjVX$olK znwoxmY0XsjWwL`6A0|JsuEmqq50qpC$9`m!6hxWKmX204k9w^YQt|v&O)g(#zv^vo zaG^YIjk$4Q^V}uS*!zkjIwc{tS#T1C^_)c&-a<$wgx>)m58yuzS`jj?6l?qOj$=O< z7&3jVx6sRFyc;G|Iq;tI8ZNsJU;ma$KG1JGp%Jmp!6$lCENkz2l5A!nc*UH8SgQ9j z+1Mqh*VQ>`A((m2zY`h3@hs7FDytKJ?Yix@lKU8tHcF8H;wG?^Lk~;xg`$X zc3}_o^Noroqf=XpWN{^tuBF%P_M=3hcU1>d`{1jzuSVYSx_$KKrtq)%h(wkjjB2q{ z9oMpt?Cj}yFZx{0xW0eW@tbF4D)_!atN?Jw<-AAmq8^9;(Ntbu-r?b)Rz;AS`_x{k zU*ovmTrpW;zwimmXjU2|xGmW`%In>YV6yr{k7PfsZ&@%5_bDb3C3uL@DWMqqQ4l^xM!gGgF$(SnE+M;7) z1k()PZRco+9f(nBDLjqSC=mv-w=ILs-ZYgIA=VZRweVYB2MFyWW>v?WlHf!l)H-}v?LlW%N@-*Q)Y57B0W0aGUI6PQS9 z;@M?Bfu_@c&hl|*cNgf6jd!3WsY~4-OKD7_bYGfF51RUl^TsFji(71k}d~o;(q5%i_zo zGW_XcsYa{j;Hy_&#aUX*Sy_wU=Zc34T~DlDf*CFci)xFF?c>5C$G#xCrF9G6Ye1T- zXbyL;($1t5y?wDcRA5GV*R6qv9cSZt2%v%?JxYD(vqSsnYc|`R4Crx?`gwpUDaePd zEZ~xvdkuCjA_ag_Xfi2IIfT04;n;T4gid%Z-Fm~p?W9h=lW>)AfGb; z$OrU^ixM}L+m%U=h?tIN>#0i_&`p-?fB~|h1mH+OgV{hX4$-5ngSudRb91xap8{Y? zW&%g&APDsMIRHWes@$j1xkUAZmsOt}5G@doeuk%EgM1bM%odPO>sGZG#@&On@X|OQ z%*~v~AYvB)wg|K!+n>s#@!^f><2j543G1fIYyhze^b&x!00GNlp#y*(OK0UgARP|( zvk#6#D%!4}uc#h?p1lX~vp^pUFZzMG968Ve+9-)k3g_uj54{8*5eUS84=n?FE8Ft2 zB5;Ao*m76~1@y`1FN;9Tk^1N$(93-efHwpE1m8brLv_29;FCCjQ>Qo?W={hG&HN=f zG%tdqoTM`dnU#hD^7#oMn}9&|>Hnm$Q_9f(Zh>e0_iK0wHYp`s!*lmKZcM+VN_1 zHFsI;+!N$;4|M|q(V7&_&CjQa!?Wxk2|b)+d<+_V0gw!GNY}-!ZUbG2ojZekO7AHJ z!6TI6+e!Xz_3^F3_jRzjSG{IPCB3DQ7x^V1S$@w6-gy>XIIT{9oR0*T(0} zbD;4U8{+{>hBQzQl+b}+e*}H{crPa(Cl)uE_pbv4_&Fs%xyU+aNC)UX1O0q@Pr%1P z(Wd6+yNknR#_PfdKB00r8KFY6-#KF(no&T{nC~U3E3kZNHH`7?FH}?@pQrbF;DZg@9Z2B04 zwmh%MG;0LqF^VJZn0?kYJ?N9-Uk0C=B&SK|03jNX6O~EhrG3lwbARcu#x!x#0|oR` z;;)nww5LsdZt^hEmAIq#N8>V{vXBA*GKwuGgVX!dZTvVV>SbxQHKKgqQ0GDxlU@?jZFr=oU zfxi9t;N$Wvh;ufT)6b#gG3Xo1JqzI(OL{Ug0}0H=StHLof7E*~{eC`%nRQJH`ZW6Y zi62m*TaL_|@ej2#xp9Nr6aiWR74f;J<|tWLl###hy}(8umvl7%E8+0;AMDL$8{b8M ziYx`R1G^=@S%*!pr+?uoy|U)G6bz2hY-F9!Cd>4$zrlnO&s}q^GXaHFtjGTLJsW3o)I05m0e>$p^aHm!+yfO5q&h5pbsFje%HA8> zCC#C=0{`3%KlMkua=VZIHXc1qcfU__)JXwyzJBrdx<5GJ1tMVcJ0`_TjGOO!!Rq4T zYWLj7{l(JsvB}Ui!EHxY7|Tp$MZNTRzRG_Jo}>FmGS!tld}$N`Ta@cpcwSV%;ulJ zb0E#S{);~f5_(G(T|MWvjhN6@m`?*4jdp6Cq%90_@ekRH&5QUM9$If251bXBR4lS29<$+nb^9cuQQjwa-54EXdv z@XW;M$tthH-f}qs8WyAl%=p3g$ubvSP@`Z&o6>!U&hwK$<`e$28pdi#CY!h(g-og> zT%MwN=VWt)u43-NapXzh{*`S$h??*RF|NEm+Ff_I{R1!m_ z|7@jiZD49;OiV9k0ASLaI=Iq`q5>H9_WA(Yzn+!D{ayCN8pKQtz*6#BsPu}~N>--8 zJ%+?g_Za)~_ps{!@nHZ)*8ed%0{=!1t%9MY=@)AYgZ}|t_t^dag)Zj*O4q-B(cvF_ z|Nkd33I01>0{=6b?ziQ?&~z`Ff0Na}er(~tN0ZP$GznT;*;`xa+5Zn@bkF~Pq33@2 zf1~GLzv}Q0J*qNa%nbGaRh#~Y-#`$t({lxM?|=B&12*P=N9sqmfI%-`g7{~tgudUZ zliCvEhZ9#c=jlnLAt(dQDx)*@W6r+)=Wl~#)`8$ps2~0t1ILj6%`5Y--<$aF@%i8Q zM#29(P8pflIN1N4*%W78#kR8!_9w52{RxAJDJh>la|!}udtUsc%f;9UdPnT!`78)k z6~r$agysE=THb@0oA69rNr?X$t31ptJNZ9Mn5^-r;1>+SP8 zpQ|zidy7NKNI;kV*Sr%)JFvoX@f^8r(HVaF^f%GcZFSI0R1!l0gH(-Q7KC zh!7auJ-BNixCD0%Ay{zt_wko=_C9Byec!rwz4h*jteNlYs;=&?UsW}$f6ZKyj;L24 z{d{M7oMMcbiOG88_JsUlMMVrR#l@_iW|%l(FlYE%o2PCEqg73kSoOm4hWfjUEKW(? zU8Csa5`^e#tuKOVC+)r;T^wU-V)+^@p5kqzGf&hCvj);9D@1Zm?kWyW@@g@(yBOWg z+NQ|YUGt&5(bjA`^3V0(UVENJOw5?= zkJU>iE#(z#zZN8FaU87gT>r`v)+mYjNFUzAc65hZ%d8vX%e_ErD(a|rEW|$(O zw6W90ORwfo9L>jz9dmXS!uo;qjES~VZb`YXWX{j<#b`Q;O!ZXc$4^os2> zKYgxtR12Jldx%Qp@ofs8pl4oTM7r9qf2TRfp&6!1C@uYBE%`;GBR65O(KURI*7Bjr z2{9om5lmOWIIZC7)u*1t$+0a6Jtm{t2G}yJI)e1uXZ{GwWMWhX@gNx0;GzdX*ixf| z3UQ7V5o$oe%yE$}6}u+U?fg#)_3@ANn9>Wq1^h18QHksk_=H9?c5X;k zT2re*`npsg{i7y?>GfC166%zb^of2+!eE-W4SPv%1ZR4&JJVXv0-y?$jF_2>FY?Zm zA?cZE*xsA1uB;=#Bjg1^lj(idB9glrojILmaX@+aQRucjA&um1i_&U>Tl` zZ%=u(F%Gdd7s63}NsZ z_~tzP#f`K2YRc63)&wJFyV3in)x}Eju8v~L6`sw2-jCXm+@-=x;k~5 zLrsZYenm@(T{7_+BXNxsC)o1(v|O0$- zCAxn7O0vuNv-LNjo9;2XYqffXRy4$fk*@XzZj7?6%`LUHiuzINcb{_|bA+HpJmrsE z=_X?|87qb4iEVQ}yf2g@C+lyb_LZlKXCHE`9bTA11ii>ka~#gtOp6Pyn;8eyB!9SL zJi9mXiMJ|_tX++%UNi4JSIoTOA^7*R1y0(e>kgtW7oed;i4c=FZ&B0$2vVZ2C>8KE zsTdvvuNLc)O?pB^b)lol{9E={aG@q|Zd}{+;6yR`%)`W0&k@E!euTCn z<6aa)WK@C5Vt80aQX!lmBeBWsm(p)ci}oslsN^1m0*l2d5Jc4)#$ zxjZBcwMjK~SvCk_Q%;Ja0p7lgj~gLTVrtZeH-AA#$`Vwql-=k3$}z_JM($Z$Q5#(qd3s{@_^g9x9K7%b4jKDd6>+s1Fs?l0A1{vL+O*F!{`nz=}V|znSC? zc7?t(rRb{e_2pI|lrR=EKbfUOj+y}vTCzK$?Fv{4x(0*$*=O%I$LLXrLhR;pR7Av) z-v}yK@(|Y}^Cm*5?#Dz3x~Tx?iwCdFEkgRX&@>5f0R;^TKary#e=2yCc}Z)(^}&#+ zC9OpNHHtq`QZ9TdvbeSAJN0{03aN2nHbqT(`*Xu0tyiLaugAbejXj60Hj~!(^UWX~ z?Wl|FE#vLCU~@vriGgm7Fm)k=-)apaqVK0A`0M6S%OE!DVTzU_PL~zJ6!H*$b89-- z6$1=RVu64rQJFI0Zn{!M(K`45kqaMTg!@b-{Au_Dm!*>V+D;E@`&kOwM^1d#`~+yCs_Z1JI-DI303aF?^1h8sDvR`#Bq@6{1_ z+vv8h_4Tary~UnnYZ)>w_!kDkMGLgJlix1kevafV1s)&D8M5Jh_4Y+wd%oX(q!^!Q zbE0)wW|}Va>zpP28teoNer$$Nw5>!&TDnxxLRvM=Zcr3CzVBVqHgUG~X@Yd%9qvK(s1F zR4XD%n^!%10mv!--exmyy@`__+Ah~Dt>=H8&T19E-Y{-o37wq!X>qSUhuM1M;3oE2 z4fCt!Uw!@#h!S{e!=7fJ(y8CZp-?CbV4z8E$E@tp!I0a)jL@UJO~l$kGVH0SGkSMk z4a5&0@HEB8oYXofsq*n}EMZ^yxQDr7*-p2#;#uf;*`eh&7d?K^4V$p1&nnd&bKdCe zl=ZqP4Jtj#WkJXU2Z0q?R_9Mf%N{M!saVutbTAKA;AO+HH|ytoYT*ImLs|HnUs1CD ze7Y4*wbedXEZ&yp_+^5#6#Ws4MZ69DpqgAaib6HLQ4a;N*~us;61qIXgz8!4#}__L zR@lPH?J=I3rOm{zqq5;Oz*11i`#mNN#AKYHKvOjQ>lx_?&VpwWX^+k$o0F}g2VKwp zP@qn9Qlv%`&R$bn?s=l|KA zPQlxhog>;A;CFSa(#3k#d2z~3tVG)R_TmvVLmf{2S}sgqF4k@N=!Tuhyar zZ+PrXgKD{Dtu%KOFJsQn*TvNE*GWuoo4t;B4VvzZTKlP|Q%i2G-Hr`S9L_yIkY6{g z$J3Ig=-!svohkfG3Kooj6rFU?S>w5haIW@${_@4v`CI5%)fH2zU&ST;Q@{9=oqYY1 z=oGn|FK02@WrwjJGnYbEF$8@@&7@m#BqOyS6~Lo+6PjR6E5{}s4LH|CGK9^+t>Qz> z+p-VIpVV|$-DtDDs&j?zg%eRPHQO!`tcdD;z1uC!<&I*j>LP5X-0Z}W`=Bqie*OOO zuFut+9Esan%qEnc)YNW=!A$Bi z@MzHTaKJO8ZR#m!f`lmL98Bkmgf|pj&a!IKJ0;0&ITAXqeFb?(zncna$gRIXJM9XN zFgah6`BDDr3tavV(EyiYc`tVPcn8gXP41c)<>IK6U$$~6ktLOAH^ntRyq9OXuVa?& zf(x8qEp|8)7Q*Dp&gPj=_9W??+N@vCe?e&*Ta%&Zhm&+xxn?DguSdylpS%}sX@(bV zjx(Hv(u3dxF=0|(le5nqDD;~}dUb9JHk)^0V7&LPEAM3b7LG7-rN71NyY+^TKSICL zMl-AhYrJj>pEpCBnA09qduTl?r65={lw4{mtcIBQAvU{ko;R^Fd-Fhhy2v9HRF*e| z8$C&UJ5F0Y_6&612_JY9_Hp1yFc*X6SLAs?&U0y%;bQUEIk#IDFL?8x6c)iNe}t|* zg9&@{em^Xk7RM2X-g#X&T;A;aHtT8k1*4Q+C9AovkIBz@QRp{8iq zU0Y2ZD%u&=JhPDPEt=6UEo;_YY|A(G7*$`!B6|>8+uTwD2`M1xhvu(wm4>H?u3)+~TXqbxXZ`y0~f+?GkQ> z19%7jwV0(I#Ni8WQYBMozcp{4H_3sB7)Q6c=5j(z)Q>0QtZ%GFi)EKKoZ_zt!D5*B zPtzSTh*u;}rpyPAK2UjSCHKW}0{5EJk93f-zIQggda<4z-d*nildD8-F|5!zI3wXm z31=N_ki63|pJv~47STLMbHWm0((x^!>AJEQ>T_lE_y7SExTxE@QKQMBkTzhcovZ4< z#vcV{Gc?)!MC-#E9<7UHL|6d6#=MTA z%5j6*yWITT>7yxHZg2RcUZGirMCI;Fr?4|tdk}JrZl*;+=5q9i6T%uTad-Do37Lb7K<=JIZ7m7!^GD@lV9x!78j5eec5T$C zkZqNaD|5I_qU~Y;ior;Qb}NJ8vOcd!Q1jN0SYyYu;RZ*MA%&MBGVbeH(^~JiHQtGJ zTWGe_s1fi!;zN@dY*4d^EjBiV%@-|0xvAFAX?~(na*;|QGy?r1+j?JcgQp8TBg(9s zEzKloC2pzn;Iou^HEOMiq=e(eHQnRFDcz1FI7Q@F;A4JYVv1QN+q~c{yJ4#i;uyEF z23L2(slwFPJjH!^vpVmZH3G!eEi{+q@aFo2k6;wx=9)$kmZd^vx;~g;3oI?#VoXnx zqsRJmbASE*g7Ul=PTai%^TxV)>_*-OgW`{K;qA@S@tGnsB0@r>L-mU3I&_xHX4;D; z9_4CS%?|KpCjHRTiBk+6RXXn;SKX)Bc;4J9{#tB$#4*#NWW)CEu7x3fo?*=N3SS<$!lTWjh?K_Bl{-scFtLbpdhoodA7 zEXn#bu`^5?Bz7+lCIe4ryK(LA0<)wmX%OPk^-9Bi@`zlHXwgi0jXXFL1jaLe-J2w3 zyXQE$DgRa@bCq}tY`w5a=O%i$xc-DAd&S#dk3%nlADa?%1AAGCk45T8Y5URZi|K+z z)RLquR;zS^M!EjJ(bwA0SvoxV%05_r@r8=cK^EFf8o}>@>r`fE52KOZl!rr0mEon; zV;BY#86GV$BdhUehP`k7!JNU# zphDqtF47Zd5qWFT>njGuNWpIJCV~s+wbJRlY9&PJBpy3eCBco5Q+RL7jBK6_@1i;#zciROGe; zn`Pt*L}=CR(GS~f5v19Z_HiP^4BqRlhb6|U!fW$(VJnTO$xh%RqO*`hJC(Y;CWM`% zz`9)U*(7$uSrtjco5F>NZzub6iUqnDOj4FvA)`x;fpDrCViD0+ds_=!_tS#fAS6u?LO!m)t7q!t~tw zO8Vip>@=z9zW;GQi&Fd(>4gkcH12O=K6~tJcm}f*9gcL}$362Jqy>@;seNwSFgJ{A z$Xf0jt-&8YE>S$B;t2MU zV!xv%K0*9rWS@4s13LTs^UWLVX<%oe=iWWBZ1EmvfBIT8IZ@GS5^wrohq_f}J{-5K zaM?E!-R5U~RH&$xiEoR1rR0lDc$4fCg8~IzQM3&b-^j4`jMhHo?k>byBA%R+<&ERe zj?(%dU=f*kt5II#$}jZ<;HOOc9So&dLSI}s#@ZVjMm06#Vz38=BUe|;+J6v{`s=UM zJ`$s*{gf1w0x5dTR_n}7d5uQhCtRl$Q*1gBdV_mII^Hmh@bb{}UrYqb9-)IE*>BM3WH6Y*RD1aIAhq+W5#`te&ABoqV>olRp zOw1dmKhm+%6d2l!UHqM~v;WLA@yCZA%Spx!t*Ue!VX>ZB!d5!iDJYd)aWkar*92*@ z3)(Yas?y;u4w;p$S+)dR=?2%_U3ft4y36!X&+r z1&XO-Da-1Srms5&^Ozr!0`4dA(`pkB=b^#SZQ|n^O0B$app~83I_b$8$}dqPLM;54h(FB*buB4ND+M zBYX!bQFkJ*aTQTSomx7}#QV?-Hm7wfp#>-g<)JH%YIP_kSdIu6-sAo!yd-_Dz=ds- z2^?kL3B5RdSSVQ+AJj4e;bUWpOZORZljIb^@xTRH$7e&w)-czpVzZ2ponph)Wj~dtnUp1jdKLsMesAaCoq_m80Uy_ z=igu8=M21&5L}_hYqawRfV%q-|`T?VqZRGe&&*hrkVAc zCYg#N>-9*QPPLLS$!PI&XDdw-Z`Jo%^_}5luZ@%K4hX@gWq;U{%>QbgPxdPUS#paf z2M+pGg)rR4RM<9Z_Vh>d8k>G>PYrneNC{jy{ldUmyIXt;5JoZo?EuWGer3xCex%sz zFJuRtIq-7=MT%IE*#a5f6WXURlGDpaycL>;_sd#q>JsovY|?@TMGsgKx2*DkT6w}b zD>7fbcJr2pC~52=DyH%llQQ-deGgd9C$k(xJEHSFN$@P#{zJh6>R{~1Ukdi^(VfI7 zjl2fixf3zM=*9{0FU7x=el&Hk{8)&rt`IthVTjU-7yYgO;hPuf zRztOQLX_Lj2ip@hqkb}+hcllxG3CJbSM+Go>lIMORZm~lg-N+rAm*gs$saGSJ6YGK6z7 zHYSU^Gdz4np`zkb+3-(w$Ow1QYx*X`i>7F3 zI+gnVze*?W2l~NOv=CPhzrKwblW0JFJQ!G@vgMCJqmtB8IP$-7b^hH3 zm?T|mx^lKYwNVul@I}6o!vP)qHUAl!kPC8<^tFP;q|$~Kh12qYP*7Zb9<^(sFS0i@ zMXy=*0pNiZC)Rjm0S0@=qkoMKdC5!}Qw-B8-r)*fnQmY*5GW94v%gz(4xgUE%~r=0 zN02J;Z~Hf}*RAnzNEXp;Aizb$b(>RED;O(g9pr!X2L}3!(rZ$CecJxmr+B27ovzY5 zm=FN4^?S}j1N_~j#}ff+kN%YOI^|r!(Bl-y2WI4i=eP)W$O*lVnA@E2vR7c3f-U6< zpN7^8H49h+1V>Cb>TfGE1%MIG`@yK0tE%a9;C522eeP7MG_=Y^_AQhxQN#sNnBiNf zMY#>Q3y2WEP?^Gz{y*2w(>$;o;sT;(cE;2P){Z!uAKF@29@aLk!9W)!q5MMaINsRO zQ`}{qXkgD1QiKP-3sTws)6{co_Ej4Zm90z3d$$(FyWt3$nmyqLLybRUyEc(OMi5va z3I(^Gv6|twI>B;zX02LnySZGh~a9^z7LNcYvS5{B+mz%9WmT&>m*4vhbhPi=v<^o~ z!3HH$)KR=p=L?M>3Eg>}Y0n1G={zJmsS8^JutgiOND*rR&9caT6w@n&!h!~suXUo9 za+E?d*=CJW{mByW%xQx=knt{J*1$Nd?N^DS+Y?T7339eOnX2heen&~Oe0cO*rhkR@ zT8Bry3}Il%h92+g?>jifm0+4WK=)k&u*Msmdwz&A^x+DM+W}3##bonvN%;gb=X1cZ z#MF-8x-6sQFE^n-t5oRGaGbjob~RcaLOHeq#BB9oS% z!38XlNhZJ}DT^Gn2}9`+94rh^HtkGT&TM1#ZR_)29~(M!?+Uup&osv$)FjD-*P%lY z8lR4E%Jk1_KcP7T_CWlPMpCfy*k&2+Nq-y6ppdr*AQRj$RZ6`lP>(VEFV`y4t~0Y z%TNI4m8d_*uWQVLp z@WHO8qa;pvx1=+2igr4D<0S6+AI3;L4PHeVoM9@mr@b{;t&blsew8d!Ia?+g*4Kpo z>p*ncTsXgW{3WK?2xwFMbyy(RxzXgl$cik|sCXKgIobUA44YXcwdjbah_d6#Igp^$ zpkVtbElU}-N+`woWs%z3F?C0jt&Z1JNRI`OCw51-)Zdy*AFfU_loVu$&!_=*}sRmxrd7x>?Plv|HxB1lEUPnam9Ud)L7F92n%L9HtdWX71@yIN)tzXa+c?TlrX;su?>5|K|z{>wcZ1 zzmAQJW~Im&%r~|W+Re~KHl*IZBBry3OMLq=A+Y0F!53>7FNyt`4UWlfpZ_h_aIvgA zTCgWl#mGbM-BTJ&@3&#A#X%4Da`LN89ky>xDCfilf+d;?f+aMbT40sm=oy@SZe>oz;crIS2jSR&>3f-!1alHsm>FA*{^PYJAK*UfERQ^8D;dAQhw zV=HSg@3^(Zw_CfM)+@13w9$h-*bqm4lSU4bt?|lbW+45UX0NpFWA8EDI6ax~p!8Vi z5V@$AS8msqo0>Qh4Yb8#-VmaU05f}f%i7>+L@>?_7O;)t16P)8l>8^6n& zIozpKf0eJq&#@oep2~XIG4bS-;&!Ij_#^sn0!dy?b4~8uCH0kg1%uKAe1gGwt<$^B z_akJL>kFxQi(Re=wtchIzB|_p>3x3J#R2!@sSLP=8x|D5B3CT$^!4$@_v=z`0xuS! z<-Yx`&&k+kvCl(SJSf+fx$q52U13yK*0_$|Cj&&Wi=!vSxp>4yvYk`5-m-Hzysb9F z`HB5P)P?T-=v5fPWs;R5t(ej+HfpVklp3)y%FvQkF9Ow%5Yv=~W)5 zt#&)(O`5vSgRCiZh=y1(#-3-suTO&eglwoDo&M4bGkseNJH8QVqFPlceeqqZwHO-q z<~p1+i+gH0ykY8)jlx}ejD%YD7Ik7zCu-{or-pVJstCprVjvDknJbwCN9xA$!`^(e zzL<*jDpNda(8w&(EcNlc`cf+fvc2WtN~RucH(60-xQkVln|IRdW_2m-{-$0!SEn(R zMCai#)L{SqaV6-mEHn`nx%8R)a>evuK|`E4A5MQ->`=oHpOgVH-qe zBV!z7V1?>^U>=X3?ex#6tiri@XFMRmZ1$58NfHRr$kDsI6#RzK!`nr$zE4?`cH%Wnc4z zLTxR`NG1(r{ADNMcA3u#TvWfvC}-g~()VFOnh)%aP^0`}>Y+_Be0JmYHJy|H<@Bwb z+UZ4=aK0}$V^C8_mop7XYcAz$t>NH~;t{UiOcn-ac^S^{W9=D(0^h`d5MP^r#xaFn zkWzLN0|<^QzGx+jlPDBtQl=>65_nx<$G7m+kj#Q?*OuwQQD=sJ$I6AW$Wb}bQq1 zzkmAv+2bXq9H1bz_cGJuUIA5VTD1%LTkT9=Y)QnL{4xpm_yDSMKw5U~JBLm)C5~aI zS}HI2PZ3Mw?)M398sD{S!@Btg3m?0_4n+gT&Qr7^Z<7tG(MEP512g8rQ?-rUpzZdB z)D_`mz30>PW;*X2c$!8IZw%+hTtTsqRVHcL9Z}`rKufCQkbYs3FW)Vphr(7dC2_mi zGcSq8xm0PYb#f|f-qDhl;YDt5|LQY7TQK*S;r&4}Vo^Ja_1*X)Agg$c>-#Ic71s}M zHPH9!IhsD@00VlH@yGCe1xe@wjl3^C!VwrB)(wEck3qq6CM zp*#vtni5q?uDz!{lli@xEaS`D(W=1)++bVw4)^1Trs5h?4-GDX{kt5*T0yy_@N5VF zh^0y67k~tSO*aZ&+||q3$``Cfe;VmoXEN1NSP>dh02D zw4hrv`d}3tji%LCeP#F<@M1WvDsKVPd3RTN1AX7CH|LMipVUp~sh{|dw3N8cdU2dO zc@M->iBM*U?yC!2a(Cf6jYP-$U9qroS+JY6oYd~h^BPZwW^qk%sfzB{sS4r07U~N@ z@gu%tI{p5@M&cXHrsda0JL3^Y`lj(s;o1{9VdwEJ`?4wx?&I0bRH~9>Hf8uIwtBr! zd5i(t(ySSxoU0>X(ki~&X~dB`gO<|oDSv2|4WF+4n^UuKQH_V>-kFUq6REwTt2y36 zJ|?lWtuv8(Qw;Ob%}N~@KifwJz*9}aT(7v3K4r#!^CFXz8dCrK!UMIj;?o52xVsTA-9dFc_q+L%mwTo=zokh|FiKWB5f?l50lAsO(r+cF znyiqtS8Yl;O3!t!0@jH42%D|)MC@yP*Ijn+)UA$+vlP=BU)@>EjlB}X$53tcB;uB~`>7@ccM0+>A}()pRA`neA=t zo9@@Y6`mKh={ht!nV)!IxpY;39vjDNk~kVkwXm6^k(pV4_n~_TQX%YLFn%{m^J&G6 zLOoTT>R|oY`*`hfnoq0m)z^nJ9#8!OgpQ_HS_MnA%a?Da&=+S%$?F{aLnH!phEO(#zL6g;-8 zi*?dw)j!iL5VU1;2V0Kz5WB58DXrp4;}trWsO`zo4aT#aTuwoPH>p3 zU3bfO<2tXSDqlJM^iNQ8F<*14gZpTlNM8gw>RfnARqu)Ky7NzhVTBI6>~{@S^IdVDv|V}jZ+D+ zrsfH);!wVIqbbqXmK542{$N`F={t2IT)S+vP5&$y-ev_f($xOqkZHbSX>!s)jO{;_ z0Pe3&uAlYL(OwM6jrV86Mb!2g=;L~`nX-lo9qpERp z#@{$i1KDt%++In!xAAU<4~w)uK1XR90_@M-UB};|`DI`*wROTwg2W59h%YLro`$(; zQ+z1aSC%B*mjvue$_`QKb?-?QFU6%nX&MDot%qi@T10#`jw!G>Q6uj2|BL|luQ9ij z3x)Dexg9Un$~E=y3G9aq=bMTTvk2mBI4Y~J zZm#^S5no|Po0QuTAvWO_sUm>6RRYVzs>vv z%MG2tk;})>cN*o&{P?W+@Et6^W+?@;X(JmhP2uuc_SL7bdG0;+O3x8YCjZYV;7F)^ zu!hGz&lhDc_zc8Ub*c390S?+yl)L3#7Ek8xpO5> zMqoFl+*1S4#Cy_M+*7HY*Vn6>qBOXJ73j~*z3|B{)`r_}S4+8In?r(-+UUv9l1&iq z%T~A5WOU6^GzmhYuO&L%rO#IvK!qPB`o#&Qv+W1p`NS8-yDh!5D%M8!tO62Fse5MlGdy-zUE9JV?Qms{0Cd_kxwp~drE0oS|`a@|t zcIEfawLUjGc$9ygdskK($VuZ-?C<}u_jZE-Uqz?E-S+5}Co9ke33@a&Oc*Ah!|22* z_C&zrxF;^CIL4nIv(`Z-ZE=rILG?TFR8jHqvDDt#u2aZ_02^j|&bXDE?Umg7mw~@~ z4?e3MfXafCMP<_DeY0=scedc7X21b{z0#KsE$xAC6+W#jt>yO7TJ)E3P zY~*cC?db12S2HoQaB_C^pl6pbwlgw$jLoa+Xl&wmPw8U+o6-e5eCc9uZ*5`&P`v2* z?kOj{S^%kw5BMhthVVf6grN6iE`0!Z?M`9b&m8X-UkBm@E)@zH~!P#%6Li2t4d1?U$P zP!T0h4AReF*g#SMb5QN@0;DhoAL1BD?^bn{J&;17hdaxjf z2L=NF@eIlX6%+zGh4Az9z`!tmz+w>a2?_;f9s+dz*IPjdARz<;><9DnfS^KuS@ZW7 zD4-EA3Iv$(A1xtJKt=#)`JWy0(F=fucmyGWfT3W1FpmI85DcOh5cu!ifQ4W@e0%~h z5WNs!*k2M5C}0>^01C{B01p(fj9(8R)Jbdoxgvj&=>A~U{q0$@7S_%tj`X~;)`rd| z(kAz`J8WJh6I(N9b6{lz|A{-K_g>jHh>tMj>}e<0oD3>doDz$nx+?C~v0RSmgYvL( zHBh_**?fyBt3tLm@@C~yl1G$0;#H4y)FFKa>I38A9%l2b_K=N| zuEn>^h(;59XpL)vv<4D$+JQ5^Z$P7*D9wRB^PYZ|&?&0hk}`SylP719OtTLP@~wuU z-xNxly|(3ogRPS^yPY1!D<9937(`0F`$m!X;zQTW3AT@&DtjUL3uU2{Znb#PUCQU* z!uVTxXW5IgE{MJ=R$)GfG`#CrlG#n#W2nlEBat#!^rT6>^|V38ixJ*udC|6*T(C?` zVPl(EGhg|xDzTE^%&WIAnThM}F zb09w0Jzo(5fdH5VkWLTgx(&ky^@R)1j@xVx1H0-yr`9T?0528uqI9{rzS z5~LS`0Z{?4Lx2w~L=U|W zNl*ZJLVtx42uz3vBzTY9|5O9O5Ks;RA`FZk45$PFK?4lLqyNA#05331kPr5+;B`+9 z{dzhAF+p)fPVJ8L%a_GLjwfvp(EVEAMm;W29 zFnM)S@k825r+u9HofGGFuec`9=*4M)c^^T&pSwTig<{N6vNOL(tP-ZAdYz5NVq*0Q zmc)1f=o-J)@aDp2V2YoQMu3ODXl;0T$-c-r7=KQ)T7af~!)s8s1zmuHydsrT0 zP+`j=q0KaiIKC5&lxv`^u+o|D5e{=PsAVXMJacHNQm6batoG)h#!aYRquN(&e=hmq zzrfbLkNrLG{{~wEfAEa|F=75oD(?R^xY7gQa-XII_<8v6T^bnW{U0DR0Lco-YXD~M z-wOe;Uw{utv-E->Fp%f|&bJUjJ{|}dNT+`z2*@RT0JQFBiw|fE1F|EK^8N!TP%r>e zm>}#=pum7$_&^XKCEmCF+x-Rk{{{zO2LPWx(;`>^27ChD=PM|nRgez^zz@d%hwXp$ z`LE#ekCg6z2N!-A?4Pqy+?-_xA8zR04N1dq9)(eA1gYd}V^qg-l>XBP1trFzouC-6 zxY{(PYMO!NHnYvUoM$fXZ)_h?xzSLRL`pW4Y`rsAa_d zVXG&iQbJ++BL2aMTsY5SNtu`05e1#}@h=i#8`)`}3BBcAqa6YjbCGYEh2krO$@-pG zv#OXxy{9GE4m(pm!`CL>U&t63p4tmJYSvBbXKoLMXGV1tq+7Qapi-Xj>X&Va^z1F# z%=EtLiCN_;4Y$mF_`;L9?`0+zlQ3-pc5c*L7rDtbrOvm)b(4LzpZ212v2t=APgzeF ztRO&Clhz6Ilo@hE15Um_Wg~uSNA1*#EeSf)6*WQ0Srx3ZU)V~GqwgivR4%B`-JlI9 zBzpY|sQgcK_5V^^3jE2x{|9pae`ARM{|l4*DiH#P@j&^&g0O#4>HH%F{@?kQ02K7k zzV&|azS0Ta6A6jkWI$GWz{HRp3|7Oxd0|24-}asnf)4xHHaGRV?yb5az0dC$QQAq~ z*sH8vww2bTi~@6Fy;2>isTEU7Vm9HkS)3g6D^tgBd%x6TQ|&q@yi+zD#}}5@>IrYd zO7z(TA}4BSRE~dM*yXZ}ZCAeX`SfXROE5;vnL+ez8z=UNnwgttLHE?9WV_kS<@Btl z*q~LBhqLz(6IL&EyRtx)GtG9WQpxw9YXN#8Jp`_#w40{}dcrdWRxMT?J8?Dy$P^?> zRJqES3KCSggd|U91J!b^yFZ%Zgb-Qs8%78`$CBip4M?v<&NXx1O(cd!;wxd3NaZaH z$mRuBj!%$;TRA$3v+XgiK42@eN_XjXhgUa$=Ejje4 z@VO#kZu=Vl+gKLIpdehLocFx%D%PIEO0jp(HThj?B+YPS& zv4O#WV*S2^0PzFc5di_9NC&+2-fF-rO@JN6A9qaLyWrn7`hUMf;C~+T|5zLTZw+1$ z*lU3QoQ?mJ!Se&VxIcGw|I5Mu*V{Dy$k6{ilmF+Y_a7JhUncMWt$_nI-TmfC2=afn z>H>T`f`a#3$N%-J-{;_eydvX|c>Di$;QuWY|JOmofZPt_2lmMS#?$}hVhaK#0tgBe z-T&6p!9YO*;)mXQx&Tmr^MiqMm|jpw=&w%z#{Md~|Jl?3>op~Rxc=W`{&VAb4;UyI zF#F$yY!Dw*=%0i3PLs!Lb(zVh-djA)ytR#EDJsH>Y|7KZ*;119U!NgZFj3#JT(Z(5 z#8C`iP{2t@rLlOBCo<#$^-gsr2^J!R!sjC9ktj?ne)JlWgz3+`!%dp0!=ZUh~2>orl_Xl2~J){iq4 z__cC@EOKVq;NDBheKz-<^?lOwTH}?RN=mxM+g_Ulf?v{JytYk7akl;^n6nl8zgx2p ze#}VS?u#YF9^zj%wQP)bD*pJe=~$(8cq;RGP~Um(GpzBgZeBR9p%L?k0HvRdJ|Zfv zKPnO#c38!3Vvd>PWEmQ0-H!sxYj_ER7{RIatu`{TNuuCVZSH(H+S%$r=eJJ?n2-6yA+lI-^q3&rt9 z>;{a$FXDr}A4^v-)ljpS_l}WFE&v-AE~y$Gx~UhGeq$9VT0xROd>DBo7&1Pn%l{Go z<2ifXn7`6bYzImByC5a9<1M)mb!jGZlIYxWb;TodBJREXh;Fp#mP{A-3Kwk1sANO& zn^z*VcN+trotN1TVWMj(2MCo`qN$_0mTMOBM#;W57tt?4KZLz6SxTQv_En3y6`)tc zZzP4}+@$@!+$OAecbGcdbWWRlFRB|}KKxR5p?NeFAIa5NvaS<5_bdO#!YTsGV!72+ z4WYVi?&?WAyVE?nmS zlqr>lvu&v4%z!`hprvBWJ*B%asd{wk_gD{^(xmL_tNLikXM^LTH>CTBoL5-U?pf<& zo6UFR9$2Jf;v8}o$obzTOBF#wIpDDPbX#VH&tF-gf|;x!Un1?$pkI=pMUi1^$+;gt zHzGTAKO-?^=8@jUEWLRy@Q}N5rT^NQCw~aNL|dCj!Iv_YC_d;`4Rk2^@mB=Jg@Zxg zl<1;z^x9ss7w8jqVs2_^8{7GZme)j=mmpiFt`)H9NTBhOgS#d1d+MMA#=uongX;V= zHh1`W^zkDvdBX}safFjGdtJ`w zLw*wdHSOmik%L7R$riJ>XJ(PKm77LUy*V^Q8>&O>6H~lfHjV$WdWNXNky>wy{u#Xr6Io|1M zo}G6{tplJmY=5LJyx%fD{%GSuwX3>{QC7{JS63b%} zYZkm%y1YsYRQpHK$eJ%8e7M)xR_0)P)D#qI`8WPq=GNDWg}DxGBkCw3VO=QQ!@=jE zSMBv}4q&WTQXc|V1LO>uUiv>QAamzQ!AO=~M`0Vg`_|f~osXiDHIYgm`1((H((Ee)XuS~Fx70?_m6+-#7*S|Ia>rZ1 z)RhtHT*|X5Ky#-lLHUxK6fk(aeeP9-e*W}Fna=ajDU8KuRQ^IEHV1A6A%e(LqpZuq z4WhUB3rcPe{FGaf7A2DcYLS<6Pn^l^Q5Nu;qIZ#Ov%OaBMpzpN+@CBEGzHNG<^LWgpvlJ;OJU3K+p zQ~472oQwvkMcLrN64Fz-y;0Dz*D=e!Pe9m)`*rCtk`LbXyO!v^tXNdq+&zD~v9mVS z4UFYHXXJB=efVXv3UcglY#T2sZBER&fV0x_XA%tG&PV&uecofphkzx_>-fiQdkK_& zh!!)?WrBeR*BAoGs(df6zaOibqNf^@EyoUEi*)`zaNT-M$;Zk!L@gd@*LBfvJ^pel zN}^(*|8UcRM3S23y7fyD6NmG{oQtPM>C0o!+m;ulQy(%pJSXxCjX_im`Y%7GW!Meh zJ-KX9x%@@qlR}hIoZNDVvq9xGEaWfic8e<M5?8cKXe%0h!d$%32=a(%XHz|hHu;_DRp)UdC?Y~&M9A?{kZD7TK{iOnjy`Mw zw_#y}Q%}0#{YGYa$T zbaV>^xZ%i55TPtwNwHICDwtF+IUs8KoSdkAGH)mbI-W^~AcGWj&(6?KkA|38GCV{j(XyX_~QB$H%fCllMY zZQHhO+qP{@Z1aun{ZHLn_f~g*>Zf+~x4o;^TEEpZZF$+BH*MX7WzE`r zzR8*u^NTcSdY@ivEbZ!~5D+6M#zW1Ofa5AGwp<%a537an#T;eIWbWF0zHpf-D%vz> zE7PP-!8It7+z3(I%rx)D@!M^!gVT`T3*ie#HEio-mi_bB^xmJO;o`MTRqWTM&5tO4 zLWh#miqQ!P;#TB_?ByjyFwTxvE85OU14j;q9)ihJ$AmRg*YyR4h%Pg=mQZpdi!BQ2S%V`I1sU>feVG+uGVsyWPVTwgM>mTO`5^1v^v%1t#3Cb? zyERNQ(6=zT#-WH_DJ~^R{L5N+WxF;)K9;WO-%nLnPuJ4aoRC|Z{^|>B$2MGzZQWB{ zaByE%>$17Yk=G4%lS+DW6>wBD){~bTHn!yS@Pc=uNU4%m6u9YxI}kzM&~i2wqD2oW2%N zE%0sPdhOlc0n?yruwA0Nk^xlUGbrp5yBGniu?1u)M(o8oRX7l#DRcudqE^H2Vce%0 zbv=-6XO?l-l~qi-U)U3qv{2ezKkIK4`vRr7dmz%ZoivUTODwLc+wVb~jzCP=N?uLb zYF<@LM+^ZeL`-+72fS5*fkF;y)>ui{>s8)@1S+HwDQ<21?P0mfUjYpW&<|9jZtA$G zd#u1QS0~NkEBDU3C3rnwktO@8JKS|Ug&qhrvRf*KV}NUVOXNP;s)xJgaKh5n>LOL^ z83ijSiz{pP)ga9~?i|ojo^V3sQJ#Gq!yv=CU<*rXQQsWiUMwN(* z%zE5coi@K9*(|n-KTanNbPL?5*vpe$IDfs5@04-2iosWc%ybQMfz##bOi^1s5|YlV z%CoJ7-ajG2m`9xqE<07l`<3jn0z22}RoiiqhZ5IwH>yA<&E8>VjYYUJfx80LIeZN_ z*y-GP^|u)x|LV6F(&^2Lcos(QN7q@*h6B)Fx!3VG5E{6@v&qAFMhOy#s7my2rK3dH z8XpxXUQQ;0!F3zBAR@@#9%@!6Ys<1Q6yj^vwhfW&Olu~`E7~eVp#L&w1zIu0S=AZz zqf({pP8@-E4w?maW=vDN6J253*Y$UIXh8dJe*jD^beYZYb>CFk?A@3_{7|Q}brCeCCDGnra>I!rAhCjV#GD)?LHE#8-+Bm6zI60jA`RSf^Y|CVT%x|rBD;mB4wX@F zt(c8+M?;K)jdFW~jNlOthmEHW_T=ugm3JqBMC3Aab$dH6##F4RW$Chrn#qcol>6TD zg=b|%s&wodC{bWp*)V9lB4BHxf4Qf-s_3%{yC`G{u1NSGk+rlccV$F+=^iT}K*44z z-rbg;f}T>MFa{3T0$jS6*O~E(IPTx~u>T`awL!hQPa@^V*pfGsM0Te7;pPqt>vyjQ7i~^tZv3mVpAROTr^~iE z9jXwlvX2+s3GVjO4e?5F6Kxa57LyG&4?9B4FhME7jz~`|R;(uyCkiK`3)zF}PV_Kk zEMP1u;h5;12q5w#k{iK|YZ1qdxQ1yF!;PhZu7Pb4v58_4TOF&7tbwV4n1Pvrf{EN9 z;#)!7q4??dB}re72Xu(($PmMazR0y-{LOL;*k^Mwur{pL`|z5}GxS2@DFM4RI{P$E z85!!0Klk{9{9VXZ^1@Jpg1C$38NNK<;_Pvepd3ZuK^DhCGk!Ka?hSIKH%FQIx=>!h z)E#@yC#SG3dY%NdNyl`d+7Xe-0l3X}98Rf5c@s(Mbbq^W2A}hCPURZU%dOWQ^Mw_g zozlgRvS*4WEwwJSDyWII?%Nhz@!fby{5igZ>Bz1v7TsJS-Ka%nB%E_?7-P$~1v~V{ z-|E*bxNQz5+^u9f1#QkdD!O*R6?xdmGnswTzP$NT$`vURk#L=!89gF65A&7&c}x$Rx9G8yb}c5BpzRP^D`WnswB zJ?mlI_c3yWlC|pSFz)33%CK5hUKKut9HkgAJW(KRmSy%r=K)GV6T!mf{dw^#>pw2P zbX6n#EphAvtNplHl(RCaBC&cvZ0u46+-kzgZU_HGmtQ^?qLDZMvpgK3f6gtyQ@$Ph z{Shi$-17H<3^7bzD=DLorq5-v6PYZA9+T}wx|3)e4g>KNeu_{iAom656I6wfVl@^toswQAU!5$l0dC4odVWD5H+wz?`8 ze;Q-W|EISy|GgLua-7^xk@3?>SoV*Sf;W(HT?G~l5;YlS8$}(ekje9+j@?roQc)o2 zWfpfAcmHu+EG5JXI>rh{#8Zx98v3m6v&-L=gB3=T?3iyGrs zdP&>uOLq>zxe0SU9B^3XWN;Q35sh^=g`-HuXK?BE40T*N6d`?CLq!?!B z@dtOoLXR&rzsY1yF^WjTdG>GVS}T?;QAp7l)`CD`h?dN$a)x`Dc=g2z>cMn!@zk?| z8YIl>-+4)Lt(iObMSCr99=faJa7!ab)~yYIQ{4Nt3AJd)+WlAtp~Hj#{2{Zudu!qRhiDnt?C|h zB!3>am@7;oc+A2-1hEZANhpY79o<+C}0ne?~IW+1zWa>fPZ&k-}`)q!Q%W}ti zmliHdG683`ApPtxj{T?CM?ev#z>ne7R7>9Bk)Nh{>5Nz%M}U=Jx-t5^ggN_~v2xXu zA4H+pVoPLIiMXY=EACQ*>@q_%rxado}j-^AR#PDXO&{F z;Li#c##?KnT|GwRE7E7xrn(On(75*`#>z}A@=P2C5Hb|z?-4R@Gl&()72Vs%wIyimFKdtQ2bFhn%8gJ$;z&VNa*7330?jh zVnK>mSVg)*lKaWL(3&$)@W*+jPitL?=IY*kvQk;<_#6)8WMYz!?^7!4$`(Kq>%X9f z_>UiR#foH82N6d$Q51St+@V8sZk(4)Ey0_SCN0obH(~B6-!*0eO1D-B@c{*|B<5*Ig=9{{eA}e_LQfy^x;>v8DUqj==j_zh!^t8}% zaitR^x$}@8T>NmHH1AiHnFNr0N7ZSSFZh5xcrLSc>GHMjMUT38KHUxBQtf~cGVUDvQ%3K z1>I%-Kw|YH4|T(U<^{J5^(Kn4)CvcyDfk`v>P3xgxis^R>8y`#BFqG3G^5pHlerw9 z2AXS5C!h9^SK<42)Cfy9bwnG_E19lVn>7kHR$`&T;M%JO!~dz`q_1yVOgJVVb2_w1 z+2LxDT3=$2)p2b!DPlxq{Fllm%Biy@C4xhMQkkA2rH2P1EsP(k#ht7o5;pbg&&kl6 zoAx1Qm^qTa2+)TU@VbA3RaRoDPnm)TQS-ycq<|K$!!;bQ?Z!0tH1JrL7N}AlT3|Z6T(_((_h= z1sTET1a)#k`PqX}#a(XvTpdhpxL)I1TWWyA{XTgi!|#;40Iw<@j8}JI?-Vpe9V)Kx z(jnkuFYdtzRh2Lh8^Nfc@im4zbMJv&QWQ5~671KfzvrhHUq{G*dTC>VIrNWG*=}_{ z-BZWnnh;Y*;Ts^GgEcO#t`BmaJ3VCN&7=x5mVnm~*jM|lZm;j)>3iKM5F{n_d+&Uk z4vV*ooY^qgOju!VEI~ws=tU3z#%@wpTtd#36N7f~JVRhKIx~b+9I|M9YDzUrN0qv9 zfI8ZO@qi|B#oFXRL+hg{r%S(|5DkLqn?fCeZmn80sW6iUvdT7)Q3|Hqcp3jx^uQgP6!yYrA7iA(MAAgf)uUM*}Y;IJ8|Rsia!ns(|wt!e+%5a;weDZ6f^@D40S1Y zCa*T{RqqoYpQ9qv7-)=1iKB-Ie{CB6_r zuUaxVY-DjPz-+gUp$g$fa*QAWB5m{!CmQOF5h+MdfJ_Cs>{q|zVmYXOssr?0avp@N zJukM^^vp7>%|~fnoWxwL%`Oa8-fmr0hc*@QtGVn+?ND@0Y}@1&4Q7yn2&5pp7y6c0 zu#LZ{+)X7$$tn+!mu@4@RL?f{`R*dllC*EL>D+zBvej=WE>l90?u8K-4x2)xLL|U( zJnlwfgA!{G4p~p(!nHe#jNraO;?j|$^2w9_MyWC$B^$F-ztjZ`v-_krj{UVUN7YnV zSC}Z|eQLjVP41xwT{e9+PwY5{X4aKK=xo>HJH_PShP-_cRM7 z=zv1WGi^!moArROr#kA8-#@CDSj@3(jkudjOxCP^%|LN2e;cIav;DwhFmxqY^KU~K zU66OQYD`jqH;3|`mwKhD4R=~eete6|Nh^t)}~z@ zzk+(#A*id?HZZmoiYwBafEgo%QD;J6yUP>^9Y6w1rT=q@DGnPVUFZ6PWNakiAx(hR zsF=Wnqfs3wqL@e6Zaqt1(M-Ix#T9QCB<)oEfRho$8m~u81L!s1{s3|NEOlO2IbPIW zp+Ed^;WF)7cc0b^({}vY^-V%skv6yB^g5fiak?XtVG6yPq?mD{)n$M9a0-1sy45wmR14vG}qq@XH~SL$q|#)kXZk`H#tHH6fA6}J8S8~wzM&CVB z)+l_8S%i$0vg!TFvnh0L`iPp$X;Q;-xzuyX2mzbM=)$#Xp`&8E;`MUab=-D_q=!D7 z?$u)zT%*~G)^uaFAEEEQOBnO{lqBn zB(Nxni*S#CMt@rW-~3d48svIXjTP30 zvrUskc|uw^xP5EWL?VrT_XY{+WRVnH{IJqBjgkstz=*x z-}~1%o1Xg1yA|u_H4oe7-PlOX*<5TWy3r_a%&-xixRG6Z>AzlT1fEYdbxW{nebVYa z8LNSXb7RwHvsDtN_J-yy+qA=VWjc>3(<%@cHuIm4zwHt;s%EJT&Z2%x-*PsMye_im zVI3=J)sz+p0&$mqP%fg#75rh2{Xt%GXbd?5iRL7y{$opV?T;kwMzCtw7~CYDJJ%D< z-49Szt>_x(Xpw4!U_&)Ztv^|`lG*L_+b z_QbH#fI+oJsq=au=BE95!zI^>x0<%A>5I*=LPiw7@_TWK#(k zlfMv!V7+MY(w|#r@hpA5;mN9!t1DZgMZ6VMB*z&Vw+W=eY-($JKc1NY-kH$T(vLu- z-YiwoX#YZssSC62!SjT)Ay2zmy)4_qY8={5JKlqFb(0)Cy;{hM5~S&-YiSnozrwp zf&}>$lEmDuU{znPT4kcty>ykl+m3;8REkOX6=sjNWO`_g$)P1WliIL0^xQYJ{H5V& z^-XNO@6|be0PnH-ON|zRd#Y`##I~meYC>{&oJ6Oi?&TsZ z4*z?rDDFx_OQ~FU*XuslrEGazYT2gy+ktGwoLX!yUx5yF_TFH+n10PRNYe{iYoz@u zUOr`=G`%@aL8ZHRRB@USq8gj^N2z;iv?=v8q z)^l>ar{miC;DYCSJ?HyM)v2KV+^+!md7qd3MC-q<>$u4NMiQ#O6gnYY!ohF*nGaoAj<62 zCCIjIfO$WU!&9ysG2HFIu5EU^;ig3?2)fi2-5Ky6u!L%J1+GtJyC_kMiF}vXn_`Rc zpScc^wR*Zh&$Tt4(6UHnjQ6(Axt<100DhdVh}CsVs z*DMpvMxx|)k}_+?+clD$1}TK3FsS27f0KIi)6e+G$5d@-tcSd2Q{%8!+w-fa=ue`l zR8UiLzF!m${A=O5)k{K3G&%UAkr5iYCtkud!H}Aqhmp>pa*Czy?eKf*wOk%;EllJ?3VDy_4co7WOnvij2@LZ9tXfpFrKn2Uyh3zS-)} zAIaFmqeeUw${kJm&vB{e-N|mJ%lfIN=B2Ey0=Jkkj2)2HRm$B{&U(YvEi{MA zwG&##^()e+WvV-4DyKJ_z_2blcjGB~0k9;1dK(@Z6R~MQT;`4Pk-`itT3w;Oe;JJ) z?s0$4{mbLF^FAx2o9Y!ULCyCxK7MUvH=*_YHB{UCxs!AW~afG?WP!|h5raIv`B8&3b+?RGcaOM4bg&&68dx8pJL6WNvW zQYCx0)0a)-x75R)R@$~rJ#q$d)`;BdA#=``OM%7?+Bd89K^{5^URnA6pkO4F7z7^~ z4mkz1N(t-Qzbqs6#rE!@2b)o0fY$lo>s@n~4}!}_{wx)2+Q!Seh2W5J7;WUqmwjjt zTM~~ntEN4;iR%C*jHP#^tgVVkmoX)Jr;VOhY$EF)9wHheC7;aD*eQT9jwcZ0uJjEg z_u-+3qw3Q2tWy)%i+R1*S*BSnS*a<#c}7n4ia1IT+xlU>;ZeVp#=ctEC)aEUK-p=e z-51mR`MrZ@o>klX9TQl24;h=Og^S@ZKFwlv^m+ET(7|MF4VWY;MuW3scUTCQbVU(9 z)6t?QV$cV~R@8A@PM5OsM7O~`zS23m=4$iv)jWznvltwq!&-@wBFEq()Ts*nEv19Y zD}`ntrkSbacz5qVUfg;*#qMNNxgr#y`qeT6^OynWS}WXH>(tIdH7-XidB;U?NB0V? zeu~DO5-ixSeADMPG$RC3?0?|DL$F^;upgDc4kx|>-%b8x!`u-f(Xe_lJ_woqQ7zwL zzct>tI(g2So2ius;AlhT{F2}EL-g`tKdmhHBLeK zhaNw&Q#qM?=I#Hy*heuQKdy%E9ssI9;RNJZfAxfnHM+dPp)Ur7HL1h%L z)VEuiel#)%i7nwjb6|CF1b%(gsptZV=-W61j#tMOj-E{$3ko{jWc_SQ>vXA z#8n!J_ESeY71SS6yv1W`u9As4ffuPrj?9uej?zC9$FU7JR+Gz~IvG)0z5~csqgS8G zo;)^T4vt(HON|bkghtL*WuJnKBY9@a@V$a3r_d%7^psw{d0f<1jb)6CO*kV;9E8XZ zm0C$t!|=5u`ywvTI!UMa2V*P#mGR0vI;P52|JJhnq|ed#TU>%BqiH$kUfnfkDM5=R zwNi+5wx!8m zkWcrw*Scqx)4_t{#iD{&id+1}t6$mvUiklMS7-Xq{MUaQTK}a&|38LSHfCn#{}DoH z(S-I+9$xc1@_FWMbZazlx-dnq4Y5uniP!%lMH_1${M#9w8%g}nUNn+C3OETlxFr>q z{JQ57z|sZ?r-)Ur(j}*P-5E)awMee10Gs&y4(QB+wXo^kxy~~Ax}IWU0&oNVJxs_X zqQa{Fk><;k*qYg?`7 zJ6xU6yCHK(NjPuJ%DT7|)lu|%(hL7N${M&UJsT&=6XV9nu zJ$hZa_QP)E=Sz`}1|PGEH);IcDy1AhCiR?%=1c`q0m3B5dN`;G8c|H_L3->K8+_Z* zIrGrxy7Mp0a|R|AH{hTjNs01klhZnt!F`J)H79X*k{l{FvpEPXVpE&R_3%7J;G*FP z4Jki+XAiHO77Si2R=QRVqfa4wLe(o23DhY=i`Enf$@?>Mcp}Gi!zV#dmJ zeuV^1$B@xlA>OTJAq5KKjb=<1Poam(a&R7sE4(@;wU#V(U;)20M`&3HG}ob-oOrRG z5RhWgL@PAGtSO!}*7&;7?!{j-*1!8aq>J9s>^K(db^Gqbr!q$PIM34`s#9#!c#*^@ z`%oqCo!;-<@2oUd;qm2K3hdDCR^>r*8cq8B#+Lb+HPn+oJYlIV$utbAe#>|9{hgv* zRw2Zar}~jM5?dAnVP)m2QAUGe3F8`z!eOTWqYIxTg?>6a1FQ<>5O z#1=Z8pMcH-V|Q6{r-E<5`r8|Bso1P58*V=Q?!g0!BzH}rmY*d|YCqD=Ya-87r0?Pj z-wUFT82*CYiJ-led~cC2)SLt6<*4kAP%rlEvlK+Hz;^VDJI^J~(COKWo7cyCaUcRw zFIBn)XUnYUTXS#c2!hYwSx=ELQqIs<+alZv&-GyG#t33R3BUaFlVhLk@An6+JEI31 zK%Q4mspp4nvC9n)6KH`(i_#aAapWwZJO#}v%hS=I#O;*oE#S$ZB(D_VH14LaW|f5O zlxg)_U|q&aIc&VNJ;-YTGedS3u>D@M+?SWYrxUGGFHuE+Mq8izZN7MK-#{iO&a(3dtiutq|}pqn0zdLFNS?B9FDMhAmcv!g1DDfa%kn z-U@$jj|XdE(RIzdh=sZ#)9?KlIl|Cw$#19Vtnow#)F@sZlp=|wNuyZg9L5TAiN4jq zTv@D|YQ(C6MfLHj@osO_%x=W$K0`hC5U^7=XR3E(iFCnkAV7tEbnm~VQY#viIgsHKv=FB9VH zt5`4VT6umJ3$tcv#zRmVHI+lQ2W|e+lnz2$$X{W;I7D-0U|#1 z4}z?rARSWJeVP%HL*3@qO*uQ>`206gyA3LDY>e_ya2-BlIwVYwf5l8m5hl+|)B=ty z&mS*Omj>JsEnPZO@soGCLG0%RNI;wqgwV?~-C!scch|Cnz0oky_HHB>k&8Po>8suHA?1|MuVCurWqjKxg)Ui z!bm$cKB`gYG)c7414S5ZRoj)fy*yIq2NiQu`>Yo?7Xp=f9}Go6jLE@okeIfXyfE#E z5AH^oO&s^J(ka81Os8<7NupIu5tJH1ie5)v!nSI$xD)ID^OtqbqO z>WTA7ff9wo8CSnJhqZ;qE(|J6TV2D~Ok@~0RAilI2Srh1sIhdJo5`EVi^jB)RSzi~ z(c2?0L{bTT5=zCX3kxgd;gT*=lr@wHIWT&hB#Y(q8UyYZW_{NvhYuh$Gbe5j(=Q;E1Fy$<%xg=C|C42$_lTiW2M}=@1a*HR3_h< zO5yoQ>|QiehKhFwe>slc6aeSP?l>)!J!~@O7w5;BfigK$^4rQ#4{0upI@P*SiM`Y_ z`AIRyi-C8f@v!c6CA_K?agU?!!)5UGDl}Do#Hw}rpDMrd@sj{&16m}l%D;qix7G`e z(P}DbE0Ud;##HW02z-@u1x%Kz87a1VJEWs;)Tn^5jFyTL}4F3zPcL=u-R9!GBpoAGhT~rB3 zSDsx8LRF5$o>2-`Hv4PyvTUAum$?#Db>4c{dH0x|s49S|0+K!I2(;?Nd)K<-hh30m zDsaoAg!_VBX+bS1DGBu!#J z!Iox>aAf2*{{E0=aCJE;VBBlOFKuBe|F!@S>oBUeFzG+*Oy>ct^*f*N%@SDPXV1MV~?!4Mwcl;S`f#iB}y+3RV zaQkYUlRn(K)NCPbi(GeI*C~3VHXdnr;hd>%j{h0oVRyOOBEFH@f_x#mB5c97rCTTM zl(@D)HYMCZ-R5-qv`*OWwA_K4aGNsUU>_t~U>~TTs=i6SGS8rPMeqKt3!i;l{&urD z4^X>04?k|$nxWj;T*m4SS_W_N)?q(rcz$-FzhGTOzX$Xd@qt{I$?jeU)a^q!{&@L; z3w~7)cBm#^7#4sFb5$bdk1$WL%j>D^i)j}+>i>S~u$y-xp-*;0(zTomidSsrk1*HN z$G6~g7xjSdiT6?;7&mLMOR&3hqVR@&!{CYd(iSK;D|M%LTj>e$g3=A+ov91PD^xcb zcBRoM|)ET^A+<2@13xnlvkE^^7F>z?Z*oYE<}$c{sdre z_yO_8g%i>{h!?U)B5w>ZueAu_oy7~$Ba{cS1HmigS7!Uqau0Fi0}(e1{c7>%-vf$Q z;x{I@M>2d%^akl3Eepz5Y8Q|<``kyno8+7=3&K~j&<8;I$etOK+r^!_g-s05V}#@e z@y_lsB)qYL`0iKkvrE_Xjh1DkxzQ>G^BsNYyUq~sP5v5>?o*lq=boPG!%r9C6*fyp zT`kI=vgQ}GCheFtpH;lx0XvW&j;ZYRzA9e&RcR?+0}PtgVUAaoqHK{AA}zWa?P@v8 zJFMY}YAuaxWth>DGEJ(z24H+c*lp==YtElEJ8YBApNiKgoR1l@W>0I0aLV|-Zc6aI zAP{lRpSf6;bWTWnc3HDn@@OE>^lN=5b-}$gxu5^?{(YRo4++MWkZX-bl;9~ZcC-AH z2FQsH@;HaO`8(u+J!Zg^Gp!{fs`GETabt{X$WzoXA-9&8Xm#0~WpP?DP`#u6E*ZY@ z4QrfgNX)4bb~~ty>EeZR=|fkIS4ISBEJp0N$zxfx``wDMbp2(DEf!iy@ju-HdHi^- zm)6^wjTrC$Ub9Z-TY_fPKzo*SF3YG=VM;?%mB2d;eJQiWw=O*>bzLs^TgnGc8_Hvx zhQ`B@vSC{v$~fGb;H5hovpxU&-t52qmhr;|Oe5}P@q+@T;7LK=72jmrwC@^SHL{%w z2E}qDWlSSnlgCH2%=D+@CZ}|HTqGP&D!9f{&Y7s9koY8||7x4F#fh4@ZiYSK8rA#DmXG~T&5aN;3bUcnCmkl>FsRawJTZP81r*kU^7#F6P`T94}VOEcID0{z(LNHxKP7{L@@uUp1vIxjSuc^poaTTL_n$H!+FgvmWdD5I^#?aLs5Cc&e7vm525~( z6L&fZ-1spC3XUp5Tol@H$;`er9aBle)JH3PNWhKzB#8>z*K66*lGI=o5pVCKi=3Vp z%0HpA1Thal1g-JHhAQ*IRx_hTr%}cK>|vN(futhhew7@D<}VJ>Ltf8GSn{Y^H0K2E z;V;hXoSM$0k<~JotgT6!&=e#sM&fvDO9o>#GlBC#hb5W&%#nl5Bmi}~B* z2E}_dA<$_xzsonGx2p#lt)}j>^)w<~#Aln;PRnHoO;7<5i>`Yu0luhUQEy%UM*s?_ z3r2K41_uarCRe%zp%P4Bg|~MYKPS_a+3|kreU~tdUDZGL5@ct=@Z8Qk1^zm3eFS}V z>tT3`p`duCq69Ybzy@*1Iq~|@C5B76jaOL;+9IU%O1~wX9kUzv7oHt6n`Hn& z7Bu4cLCx-739>>zkp}DwYY4Fu(JB-yYM#oEcO&?8uNPUwA`PI7(>`P%+X!yxX!n`} zW%`S^H-gRiuLV%$;+Q6)hK@8e_a{YmjK1}yyHufWn%efRfABANP?VyI35?myw^ftpDD|c$0>{(Fr1dEBw|NF(TurL83}jCoDrYtU#I{E(T?O z;)rYQs$sp-0b7d1|M3`eybR}4?OT2v@z}cdsXd6E9FG>asDbKD=i%Lew@|1E8aJvq za16t*D`znjbrQ72sYT&F*&XBEKw@W;fdpBY8*nK5DsF>`;Pv~TXK!c=3xnxWU+*2j z<4wffVZi2MyQtny$J=!;;XJ0>gc)GjyCep@O-&Z8p5k%lFsTWIBKSN6!cU zO+kCU-SvuaaU?%omAa2(A5%Riu==Q?dm3EI-A1Wh!Ql(V9dfR|XAc9~!MOWa(l_^~ z^8B8emZ@9tS}MmqA(VaVooz+L1!}syrWVrWn6TF2k6JZ@5K4PWvb)GMwerM(4PAk< zhi9Ch$TrHFDlh^SbQi|Ov(DYjqOe>c7}Ho;=H-kE>EO-fhBSNI+my4;4C|sHrN!|3 z9bs^1^|aLpS>R2(r~28==*dC9+zdn!GSf3WIO!`j3EUcEaNp|5mW_x!gN=}EGdB1h zH$4)dWP%M~3=5HR_0MAHT~VJ9kJ15lDv54mO}VU8ar27IeMD;vcwd2|4p_$}2e4=eRW3^y?r_rl9qMaGx-PImu6PjR<;E;g|o zg4Jr2J5XVNI)EvvC=SOo^G-vnr)fPMcpiwbLq{2S&>mf{r=OkAq|*LPU+Kj3+BzQ$iof; z`?dSnbXdLB78V6>yA?1?HbqfeavY+u&~dvR$b=iz;^>;hy#LLTl<#;}8p!ygRDA@aJ3R^@y|AeAN15*CCjvv~rJG|w z6Q#NyazEeqL0CR@Z(zYkDTh%gK%Jw-(P_{l;b}D0gtbOp-kp(V)U2+TSec`N-bYbDvT%6{cKIf^|M zzFPyFF*z*T-Ru1)7T?EcW(vzlUcoeeR8GN?B{QuVAzOg^4pb#cnA;Y>v@sj~+j&8! zu5p>jZjnu!*=hVQ7w2_PuE`K4@{H6%Ro-!k2 z(upGnxR{r+I5XBXr<0d**dOa=TSchOpzithpj!mu$?5SxhSkfBUOB4R3(Ce%>6}A&4cn& zDZ7jv>qVkfA=ak0rOwZ!I#c+91Q(m>F3ECv7P~#JKM@;4gs;XNBt|Mn+$u8TzJY@a zbf1@oDk}}xs@fZ0_bq8VAODW}b6bOVSBSOTTP|C7T6piDbzf~{qzUdYXbnVvmO_xl z!Qz(8(_WrVFIHq*iOa}f|KZ0p?B=RmMIR$lhjJ96RH{m3;KRr=Y#0sD z9WCwyZ~1?3gm}}uNB!jJ5w@jK%Y(bDH4tjQ(7avDfLXG0+w69E9~JX5KJs`4#>LgA ziac&qU(8{<(z%llB+ht`i#~h%6gqzX#kHzW<@orPTnfJ#n7FYIf@R5(uwl(wFEWkN zF^^lv9Ae5~W_s}>P$oOkf(sQ+lVPyH?yCL}0+;25IKZTxaCB>5tV zv<;88^(^AHlFL)K?dzM%dE#Nb@rC~sweYm#v?2tL*HRnev{mVgk2o)Aw5yRBq99LA zNTNRV24T2rjf6hNQL5Us_ODv(C;i2_EeskTs*@Y*A4SfOzrUGKXL5DibzNKp)Y8sk zEJ8vS%FttT96W;~y>4myMBNj_BMiWtpoe%wYZNgCHQK`b2S*M@=fqBH)&fvv%PMco z2@*1tE!7IeOk4*yl(tmEhWjq`f5<}LUxp%;)hu1@jof*lhI%W2b?=7?vWw`~6~{Mb zr;{Cd(5JF|!LNJd~$SB_Nf96FL{u|_ob%(?BB?@6+= z-H!o@8{E+K#eSl@JmVM*%I4^o=03;SwO_`b|J`;@$|`YV3NU%__C7NPXzc?LzMp2m z-mkmskeRN}Fbg!x9I3pT=(9;Z(J-*}CiEZ)6SlL>mIP5T_*h|d6O*uL zqdskRG$`LZypGHJtW(*yO|X$Zg*$FHvAM#i-Hp~YK>!C3Lw;6fz!6!RXB&wO5JyI0 zikg&!D`}%hw#w0Q?*dgU>T+%_LPBD2fmMT=**i%yM@V2}jci4!A&E-0eprqcV~-3_ z16%v~IGKTb6dZ{y$waw)QQcACvG$~pbg!s5GCf9Rk;&>kf!f=%t9y+NyYlK3_K%n`HyvG%K+R-%6`yqQWp)tIIFgO84<424J_Ilx=CK)dRZ&xX+q zjYSlj_*9Oz%xpD)s2+X>(3Blwx&!;`n;m_iSxw*3up zab-{Ue-T^%6Z-hyVk;8|^M7Hv{vT*tHU?If{~@+sW_oy|sB}E%DXDZ{=Uf#h%_!!O z8dyk&QOBDT%Ot`}feZf3G@S!S5?3b@a1gQ=2M4PShdpbH=A3aEspyM_bU_i*hB8GF zF)_ul9}f9*6)m{(siHy#zWBb^llQ#+9;dR{I^&V61mHO40BCSZMu%tn^E116w&~xk zx#dU=c@o%gw^}+54#QRH5;b-S7IV?tNhoporO}6k-wW(;T<@dqS2nF5Aw%#IG9FLc z>D3z>ZfU`WiwfH1cIQ_V<$Rz7^HeEL1`emq_!;iqSrXzul&WcFrD-?n^}u_k2^ZVh z*jQM8CDLdF+*!%{HWS{g%AAe?M19526H(Ko)$6{K!|nX7hxbkHGe^SBbmN{jp+A$P zGHET2`C7Z-aC-2=_wyr!D==t`PB$CXgB_#F4V4tz!rgk$S^ z^d7co#k5=4Uowj%oqI2~n7w}a1r%La-C?T?U-#0!ci;J1X}BCcN5$$Khi4rZR9p0c z(mgoJCB{Z~&~ykY16Q^`s+jYfuwAl;$DrFdbgf1s{$-#PgyRaGE3R5zm`$0sM;!H( zY1EkS{ugWK6r5S`?(5jj7ki>Fwrx!&w(U%8+x+5*ZQHgvnb_9E-1Fb3&aQKD>Rg># zcdJ&fu3FvIRlT0KpP#po+gBfTaBbh$@!R{*Cd-K*s7Kx2?LlFiQ03Yg=8A6l4f_h{ z4kDSZ9sCGkR&O)f`IP1gB7SFjeem~#$BH-=!Ev7+fBBTVE|3|Zrgj;?Vt@N8@1arU zP56wW`c-jUflf)ipcm$KraalBfEn_$iJhc`u_v1L843SW?Cp-F3yEDNQoX;ZM#&k| zDlDQ}u82qcsz^Mulzl>>C{)CX$ao`7`dmiqyhH_&K^RfT62|xqsY2L`rQl6hI+Z+Z zJ;5N#Q{}rSdnN)*3eGaqP$1Lmc4_J}@)opZKaf_$nw5Sg_|UAbJLbs5JW{oMrqC@# zKEcxUNQ4aq8)sh+_BVueXpPu(^G{kg6WvTikp; z(6khHFiEQdnYITX--tk>ta&{2&Q0nQUBMnTkZ8xLVO6B@Y+5<2(xJCS=F>8rtEVkE z6Q(tF;VcLiCY}==+hvc)#2#TBfm8fBDC- z4sb0!(ke4vOhR-Z1}k(Rnuwa*RV*=Ecj~_@>grMPIh3j-Kpe+l65TqX6`f-)7g+! z@cCKxOQH4~L*blukLEnbk$>B6dk;ig?c7W^J2Fr=8#2W9QN;i0e8W<5Iy1VPGJIcR zMeMG*Xg-x6?A$^27Isw}tU;*0>kJ`+r$=H0H$5dfBxhIbp*7mmqBb=E(aPJZjs>)i zhGY%99FEmAZQj=8(3O3y@Z+-|dS#U=B-($h;9f>Od<(m`jB7i`&Vl#Zh+QR0`o2AB zsNOWQSsXJHCCa#{qg3_ycghTWuv>N($={6J4xC4KXD`BEFQQS{CU+ZARtf#~Zl1zj zQ^_0SY`O0~(09?8EDlkcQ3=k*mfuAG{Pt-fO*+6uZ-__YX$XEK6Ol4c)thqSpUGU) zT_JAoJ9Fr$qH6rNQNXS4%4@{fukzYTJKULkDS!9VZ_9ebJhs5&%q5#XaxGcg2pYwH zY)mvGp9o7iOhu-dWW=0goqRXzVf~rWIi+MyyO?%srmC)^IZNS=yu73#Eps9}eUDJi zthzWE?W!zNCds-yV|`+D(Scip(b|)y)J;)!eR$)fG4pGAZc-V`HO-$-@>fyjNR5q2 zzGB#tE?c*Vb~1W00%!;skEJ3Jp+x4>P~v2%QcPl$W+BI@UCBzkNd_O!u1XoPDgi~J zn0&s4>QuT;mY2{@tVD^IaH%O@1ZEBOOp)G>c$ z_UeR%=Ci!IvMe#i)x+9C#!AFgU)-FXb;U5W0iE?wov~p-sW4`9hGNvwo;}z+1-l_Y zy00iMRPVFx>POAdMx>v$amp#4ftq(R2 z@SA{Iq(Ph_RT7lV0Dv_D2>@0R?+ibT5gKV=k(3#^L&Ac1*uQ_mbPhN;0Asy=zUQ{XkBlVha>GS3d$zs8y%fvq+-kfQ;GiRVi z74b9+8bC9tLZxh0^d8tL!Dj3~1Vt@Wpt(~k`kbPI&~Ml-+LjHWV`1s6AT%W~4+ptl zen3=~!w@PQ2Vhz@Y?S^A@0U?UT$y9PA-q9z1MxZ_EC;Jt!Dz}I2Q8lPzo=aNLf&zS z3VdK!`Glel)!J!iC*Y5tfhABKIe~aF`Q@A0r$uYA$p1qA-eU<@li@kb&PFZ6Lo&-W_KTwz??4!_g$;m(_7< z?f_{A!?nP0Cv>Xafz=gf4_jNxu!FoKbRyXS*%f&O#Wl~=pLJ^ga@~}11^zSNyI<#2 z@P_j>r7PaP^sS%a9?Sz)0Pdd{D|Ne|^Mvds?VjMq-V3)2)+hO@csuZEo_weFC8)Q=ZbxiK|5qI`^j9!3BuR<>P3zA2J>NQtplEz>Zz=V`s&qkVA90G# zsg(o4CD@nn?3njS{Jq7Ek{9+r3l^kzzlIf`0IJ{*rvCu9o#gw5cZ83~PYA){JF9i% zE3hxg*}3h1q>gTN8sq{7WP^=nKlAsI?sR9ft_!sghq<>Hz12=#|hXtp}7_`lQ;UU=T<$x4IL4kL-~U z7eo>`J3o3)^bGHd>Q`}xMwI5RoI5c{5uD2cLkylML_!+_ z!{DCug9B3FCslADRCeBYHTJ&$V9zUh1`@opko9)K+UluG=7za|-dh;>oB4kEW1`Bm zFq7uEB}KM=={S+wU#oQuq%xAkVGuKyro~z7Pijm*Wc>TgjZ<#Dw-WF-b?1Q%!)$99 zuk7HdBfmE)Cmx0`yEo4NzFWNguP|%^4VT?BY0@k65i44IX{{RGIoNf@?~Sp6R?Kkj zV2k4IUkCKog=bBaQ^+g7r7I0`#W@M^-ht`&!WYqYuviri?H|~3uMPvt=H78 zSyi0TR5)y1rB()3dDt9+3jcX!c+;yq-ob6cwEgly;M8LCHE~@of{sk-8O()6#Ul}? zd`|KupZr@CID_?qN<>%b8K~Ek*3opl1O#y6zRdIyulZuXq*n1?im(!=ZbcYbl&;*) zl(VuraN^_e+=`f5Y^v2J02{z)Kmig)nX#^EWFrBl7h~rO#8@yQoHA z3Z?@z@xhnth0@jhezFUW|Eg~zGjy-mmBPEkZ_$17!G{ugmhP=7mcJ#q&dwe3EO9t7 zK}ti3*T8Tr=&IB@MXfgF@I94!wZD}eHGb6?5MUh zK|qc#N_ZQ=r97?X8o3X$N;xsp|5;&zMRKL0y_9A-Q|6N$ft3kCtJSh~F2hKVtEUT^ zbiUQQrPJh!ZV+|z^fB|9_3wJ#^X=VwQKjunRIBrH^Y^iOuYV@LZ66>x6!4Ds`yBZ! zjH<;_5QuzCXI3pvv_;&+YSi?Yna;Y7y6y5yv7BvncI*5zPy=Z9iQ9k823cYxSz@Vd zeCyx`?C6CWJ0`BoUbjs>%iWsjsIxH=TZHf1w^>i=+}5@W4UKkJzK>$OXL17p{jkGL zEEsG!rC82B5qM#2dKFwLm_EcL7LLrx*%|0L4yL?~C-R;(WTH+++Uia#)Ago->B){% zIq;za)Aa*IO-)^7mAdplQ!I_C7Slf+)d((px@XlYkgNW_AkO3#QtDoTlwH6)I}Cs9 z;qS|a>5MuJOL%U~ZEWln)ax3FI7QLLqniKWsijVq8$akK=EIqq$@bo=S!uM$&dw0> z^&A#Mo$4}Ky?fl2CuB7-w_QumFlltI%$l6-Hn*Rz;`>^0yxn4*E_+sCL9 zAPYiTER>${$Y~?&tE30F7RAIT4qNTo^2$kK{Jq2Lh|w|WIA9DPOf~IfNIh`TOe&Xi zhDPLGsNoEIlU0i+o!dph!TL5t@J&W5uC8!{W!W*%B*Ub6-)nReWs%VTdo~PE`N}D6 zK#mOJ=pf$MVeOZ29(P$dW>hv0k3_$%*zL)DXn~xDtuz!>FH?_(=FQzvxE7|I-+etS ztsr-<0KWg<+kfOo^Q~n2^GKWX8`E(fTMa zxxhQr*(9s(@)O!4PuBic4BDOAq4zR(^4yGbF>BVdblB+LvuDg@D*B6C_b3eVczi#J9sR zREJXp6sz4P@xS_{ao*><&R!{c{Hdz&r)NES%S5FO{;k$t@ROd)Y&)}f)Bx=yrvq9+ zi0J1P$tB-BEMm*3BGhQgG>hy?;j&k$RdqTjcZiabl5gd6O*^6<8IZ6l#=pKtPw&9f zPRaVumYR0UIvIB@u;Mqo`=qS3!sVEX<$s(&=pP+#+s@e&AF7qA?5^R{b^~|jW*i(P z8FuS;M#7*c{_hLxgME$4!C%if4fXy&vm5cb7iM9e{tyKO z#0`DwR5-zUX}(>i$6t9JhXtz!YwkX?kD-^1*tHvrE-w@NI<7zMe`9}LsIY&U)s)%q zF8B6i|K_6n7K93CKcvf@WPgKCbmSE0$Z28x=9KY}T|l*`3d|Ba>^ugP<)`sk zhA<2Junh(=jlR^X#{1Hn1@;c$=oF7v@Kpxpxfs@~J6UM%#Q@8*8Pu@W#qTbN_PrVL8WI zaAGSfEv3m|V|w`=m9phGXyILHy@O9%&+YH?r`c*&)?2VytMLTU{A)?#e8)!PF$mUL zebZZid8DGjhPGiO<_4L^*{9Cub0}YBRgnLU%TIE*tIOf2?t3nIahsRhOZz{*ck9)I z0K>2qz~!qc8O70F_WJJFSd*Dr=;7VwlfYL%5WK&)Asrb!yT_87bdsv6oHW@-#@ue& z_}g$*2MOkbfhE|f2K78+tE3f|D7&B!Kh!M{bHEuS0XEt9%i#;*eBcrhl~)m%Od~}C z(5o?YG;)lqoFj1YL_i#IkgBMS{poun;SQ;hx8F_u0 zt}1xi@IHSl>Grv3-)MLzKtA^rKg9H%FLkj#GoGaPcBA%p2-jXh%P+QZFgQAyW3qY(mb*zdBg;^;6UX%qhQOwM@sPd~PFa8v) zJMzhWtXE9xboPEc9yb+cdA^^jp7bp)Zk|gB_{3^&3_T@NJMXnFU0Ii^8*z_wziUWP zk!_l?9v_f$kNc6zSXhZM5njfV*a2?ww^VUvM$(X8cLUAomclEb_{m-BXqjBeWFwqou7Ed9BDtl>hX%+ zeTk3y_xSzK^{G+Qd{5yvnRUqcf?iR$>xC*Hx~xj{bB<%-f!n}k-nOFD`pA@1d$6Id zaz&BgV;4Z@U7n#<_GPiaet8gX!zWOZB?`!xU*@+luj;Ws1C1Xqeb3}J@^LjAZj*jl zYn+_nZEP|{@*@IZ$4sCeXS1-f8$Z|OxATYv{RU^DV}^Cgze4(*6z93RV*-1!|QNW)P;1U7|wi85?oCNfv;L5M@BBgUj>>31ypj*l%W_Di~a1xU{_i-RHeFA4&A)ArS#=C0y=9X;Kqt1y06* z2Cqpn!cMtJrU2P^c8q9~z&o40mBrK!g_CRAKH-@Pa6%?Xy{^qvyt*_K0;jfXWs8-v zTcezhl!I%B)tRUlK7PSr8}58?~4|XBW8i@C2^uQ3AC4L>{UC%Jg^E8D?w5( zX!8neHxE-`mNv{@1)?s5@PfxnKOwdY~;iC#NSeQc2QZHN|mFQ zDw!%YM!`5FGb@bYl?wX4AF@d-YGOr0H#tfvjVCnzNW%eiVnv4$j$=B(xQVvz$0TyN zBG1$Iu6svT+H!Z!9$$-fl(-2``2ZJ*uKwBxR%8%YWt2#W*a+dDH^WaM$M8o{O;oD8 zzrA-R)}W|!xw&h=4xe3(T$Sj1PH*I$Ah|thR&V|*qfyF!LAo2|dM-YTWf}jyHZe0q zN_VTSr$J6BpH+;c(7d)@#DIO+FB~bf6ZMc*{z2nvoI|#U{xrdV?nW&7r%E&N-MG1- z>)u%HMs5bfEVF=;4WAkHsGOw|;;#vB%v(yvz^GG!-6AH6C_5E|q}EdjWf3z59MIWP z>}SZ)H}dL?mApfV%=2y8L}E}# z4n1<^r$*Wzb1NxMixzVe2^C+A4O@o@@>C*-j5yDWS;39Vp1?e9yZs9GpW z3+V+l&WoG0VewMLNdmD9crvpULo8p)XAG5Qwcn5 zHTX?x{LpWkT|zZfwpM}ELcytCJ}EpUq`5&yVp*k}D!{Hqv!@WkQP%!=pvm_EXAlh6 zYi&}6HP0X+nFnjkQlVxc=PNcKNBKEKuY8tS=5lEI5rFeH`99al@j!hD^IMHG1Z;^C z(z&-sqypt3YvFER?6dzjd}$f=V9UWHLzTMApn z;m03xaU0d@JcOn9E`7<0sWm1O_S&>X(;w9Zy3GY}j&pFCR0{^$0aIn;D`l(?XJo}+ z=#7lVG3npbXGUJU)4#1FjPJ*c4OwQT{7&zMHCQg+({Cvm1>D+DuH=b}+w8vLQCH-! zG#X{4++@hAs^;rDJmGV9VLQXSP9?FXhz#AzVl3+4x$~tQYDa~FC@&$+h-}5u?+@x8 znuFiib?%myrtjqF-A4Q&CiY(Q{x4MV|I8%&-&B#6lZWMBZ0i4uDzdO~a{PDp>A$#C zGb1|$k6)KQ@IESPTaP?XN9GDyO;*WNAS(IAg%V1;#n1*0JsNKf>3m^#1l^SeCS_Hq z=!p`zsDPM)Z=%}Rh;0Gi+Q=Fr_NTZffCc2tc|maOAD_oL8_IK@y)WI@KG$8_PxAIT zZ~RZTPxN1nn_1XKA&OwuqVyGn`g`Ar*G^t=$-0Pj>1?Pp%vp4|!OCpRXPa~h z?{*MNTQHg>s`536kK7^+7}A66cGmmfx0x(CPV9&L*JC=YB1Oydoo)H*s-%&>g{Z1~+5pjYpOrph=9AHhNqBu(S3rp3cAB&~OW|+>Z z3NoTa-+CV|MZ5WCLB&BnMC$&n3THxAGsr%{4cs3dA+!;BxBvnWMvISfa()lPL6vNDJ|DAE7(Js71K79NE0(?J;f$ z!Iv{z*xiUIXYVl4eKRz-;@9;jf7buZnYsPAegWlj&VJYoGGBcw} zgr|!Na}=2(ErD%I0kf(%Sy5;6-^*waC(uRFafr{#(6PiWZY@(CIQBJqm_%Ys^HaS8 zUtOcvyYc2E4|Jg!*>ME=sSy`;0xbgmcr1bW#SW+uF^}Kca<7U?D|7H?T~)S~B1G?^ z#FpVzi~X6S){N8h0O~S@u53i26h6&k(GTx>j0vrO`wfx9JN;w@pKL@~kI6;hbs{7ZM@by+Ny^h*S%IE(p$=Ar^KVt=9eav=oF zz&lVkX_NpXp@+DRZkxyvu*<-XS=kXmp2?fAw6x=#~iqFE4UAt{RlZ0)DaEkpAjBY77 z&Koum|C66x{)Z?nZ62iwu{q#Pz2A)b6)MhoEZn}|q0y-xDLLmn1nbgT;!4i zu2~hlnG^cLhjvM$Fd=@JnVbP@qD8tacvv($@io6)H;DLtwa+OZ zoU;Sj&XvH6(W(^6vYh#3(9N~3^vBr1EohETmBC_;%0#?pZ8AoBI{|J9Zpq zpv;%xCoEBDAlp~Hn9ckZS{y+K!e+b(Wbh-*6LL<4!qW8%4vBx_5_vo~LNJx0TYJui zD=6%|Jm8-YvI)XS%4Ht@%d*Sg|C58nvamRSAwa(961?%9lV#<)r%-Nr!_}q^Du)|)I@a(Vdmw{U_nY44K zfWsM%bp-CO?TpfYkAJ-M9*P}H`aI|UD%sEB_|4j<-RJDrtKJ9kwZRXo_%_@1^<_uW zVD1>N&D-cWa{r$b8b{Rfzvh^GdTLs{Hr80M3Z+uKCuHTKq7lMT{|LB@?TA1k!WX?Qo)h@zVtICGzQXNvz2P^sHF!V0$#n#-* z7T7^U!yl}xL!+qa5z=;JJ52QQ#jGmRKs9axNt8pBLmXZjWvv8x-;V|vdL2C28ztz9*`J$Tda5;V4SZA3ulfD2o?t^U zE+JJTb3X;%N{cFizYr@m^;v8>zR60-wOCBgrn0)?#^X0_#M0Ce2N%=dGr}!RofrY%_keRoDU_mti$Plm`Ja0Y7d zq3OaE`D6R8>m{BKEw=bzg!DzoR3MKK;HRa&Zyb)=%Cm`Qwr4wqL2B30gl?utQfl>B zBS|}NkF897x_hT00xk8+P1uoeq4+U}xAl29ddiV@-K|?Wd7@fT~3G7f-Gk> z*P~opwpv>u8sdbTf+Y(xP5TsMs|PNd&JS%wLi!hn2D2wYtG_fCqd=9zo>^= z#}e1IwKE{QxkzAyb^KDM3z`qOG@4!6)wo*QHm4WwXbHT;6s%g1tL$1*JYF+H_W<75 z8OO;RQ2G5H4py8L!+96j1p4|*dn1!_lAez?=neEt4^6D;9PVox{WsloB7&xFEAp7B5UPfMW_Y2E2~S~sd4D)EpZ)ntEZ_aB0Vs2Mit z#B!`{Kv-cT&e;8nd)Vc?#!;qy47UwR7^*H`M0WZSp%;K+8H(f|35GWeq*`K0E^Sc_`DPv5x(8{AHD%JV~f7ADGwgD+9!LQq6QvKJN_9_SV&78j_uznJwax- zgqMUh64vYRxTuM&YuB4NH;SRjYu9DCndxb#>7P=050tAe4Lf6NHe>wY-;sXQamf*9 z@Y@AzryHRJ*7r>Z+cK9jyFqBa*3J1=rLH?+AT;O#W6b=y(5^5dzHf-`O|J{?VLckm zkJvuO^}aRa|7FbuOAUX_aZv-8ATwcDn-FhIgPjBfCt%mJ>om1&aXMjqLnSQ>kvzM= zHvBYnEm~w>*5qF{yht$gGjsN1(`s5#eW{%Gr@jV^>dA=q_8IagpwYy&md`0`*_Ve5 z(=y&^R-2#1_N97hwy#%=sVYiOs&LC{cByh;VoKGy(QtX2F{>?WEdQ=zF7+6P6Sv7d zP};lZ9NXBiwRuGN;3R<%yPG$iA%ohsLeWtp(o$Vb=5WnM<05IGTSAeU{$&x|qYFDD zswXV`E;e@6x(K3d9i z$T5m?3o_qp(@@PEjQG5_Nst_=)-tQzp#gClzst*M+>;gqT7}H$h|V)7Wy!{ zQWry1tGJ^kAYA;m1h|DZd|u!o4}g_$6b8IW%u_{aNYpC;yd~v!cvP%3^QBo4~^ikmw^}2vb@!JGoYSf;H5V3U{!M&T8t(?-!0q|+nl1CqsAlmM9G^Q2L?1!XuA z*+6RvCGse1CDq6RWx&2Ti#otWT!}1dz5s|5Dg_{yU=anF6#%g$>VZ+>O2DYy0$i%7 z`~qBxsKA0T9ElcSgZM7CL<#UUvLGJFDn2gU6m44+ZJT7| z_6H|*#1Wm?Pk(U`AgLK;`71mP`M_n)_*{iCqY~Pf`YYqN!xxW(Kf^DEDZ(dubC{W^ z^a*o`??w&Zs3_P$u?l5Om2zbal`>_Fm9jPT&g$mstouo$sS+_>&Hy&MrL@9yba*qf z46`=&{37~BC=%NKtk9p1qDopu12+v;gZ0kbTe<v5Tf|PTggKXMjIK{Lv)Aq#l2r8^V?CEGSUQt`5ynUG&?8HcRl7^x19<8_- zL|k+aR{Tc8kiR5u#ZvqRWGHA#Sb&iIOEX=_p0+?J+ekBAz@Ds3UW^ASQOT6FqAjKY zQK{_F$QQ6DE!!GQEUrD7LO-Pm$ z#ps|3V2UzrzUue#2xYS3T~L@3zN{5oaX$z~317NAU;#|_1#|~IRG!EO$&g9Bbi?=|mzz1vOav0fCkR(ltG6JQBjWn4eYca)Hy7ahAIdyS}x&YECcTQ3v z#~KPiil&7lB4%6wIII{|VpBO$Dssu1%Tmc2fnq>N zAW@o7#RV0F5`egjl#Corf-)E-GNr4{jv*=5KLia;$wP@pNkbWz6oMfanIA$!<_^mo zh&qSThTI0-2LBB00C~fY028HDDI37uE-X8}XSUk9!YkhkXaIL$PDh2j3_4 z&)bL!P6DdKGiSGIt4JZY-n{smR9>Ko_d07v^^m+9q2e<}MgT+IxK&*hNL#l(TLlCQQNbw_hK;?1l zT9r^?vN2TA#5m%!#SX^WhV)yFvDalGcOu37F^n5CnCOWM6^j1n8W$~&>$hu&+w0f& z+_hxVziLiPXza8EX>@EvPe{f-HW|Kaw%@q*RgyFLgq#=03q}nz!g>$N@mF7@GVK07 z4DOZ}@7xT!BE=U;a0(wK;+Eeo`qKO=)?Wa`v|2jqjOK5yKM(mBx)*VNWA)c2^pW%;UyBllgm%8fC_dExdqvU$PL4d-~sCZb;G&C&}Y;~ z)|VB)1y&2G4@n3`2zCM61g;N#0eJy^0e%6~1fdP4-^bWT7eEw{2L1<}30xnl3v3O> zgZPH9jj!~aeA1l~J=&f>J zFxVRWK4rjgz#CXJ7-Hj?C%7T8A)_G*m|M07RUSGsBr_H>G&5cwBo#On1Qi%Q*gp6} z0Ix7Z9*iP#8H6gt2>1xZ2pBC`A^0H}sv(6TR34Hd0uTlOjsQLgJ_sfT9t;Kv{t{p- zjNOMk26Yd44{i$P1-<}o6`(4N&<8CILjjHf{zF(n^{w`_{i{mhsaggTfm!Gf|{Jy-R&`?QlgFciOAE zMQRNu`Cf*TZVrNOSU`Z{+yQ06_RINA%Ao@Q+U*L-&dufX{^9*l#yIGCmCbgXgfV@E zR&6eT>L_nS;DJf!n-u;mApI|@ZhJ76^4vpuIQ^8__uv`0c$)~h;7CE0Vv?;!Elkq4 zX4-4|i9WlQ9LC^AP9$HORkW#=m6T== z2^TN!m7Vr*cM`#4EG5UIowhcqu0rk%(o$ExT{(GVqI=w5$)y$iA`E})*_&$3HA87R zPV8;UMH&wgD_;Tv4wVUnpR)zDkylQP{#>a~Aom;4G~DAHBs|Dvq}4rMw6mFnJgU+K z_3mM5IekFuGqUTJ%;~;@MNU^VYNOGyC(oEAhJ<&XlL$48Juxs2jC1cAP7E=;hZR2~ zoA0j?#m^G#9!&MP`*f-kW@dZwh49CVMRbFED(9n9NHIA5(|GjJFpno-J6fxyzC%np zDPr=#HKb(7d}qY8iRY~)Ue@;wH)T{z8J=LeaTmq2VwNgVBG@`oFE6V28%2nc=#P}(NrTt3=J}9J zzCJ7C-40oVuNQH2=HccW7)YySm1K~-ZR*`_T zJOQg$BI=S8+RVu@dk#-2^dS7im;-O~F{_IR-u?F|aH}s#-jSIQ7e-~~m64ILodX2U zB3MLfnXu!56{zD5Cduv-gsF`tLjxfhgwvJh1I*di*MJPBw^Jy=Z9kJyVmG0+*M+#r z3!{~A`mso2fHf(Fcp&*0CQ~d&33Bf>iYi5g&=58tlAK&VwE%5Rwgby+lc{9j`8>&Z z0epQ{f1>Ck)h(1o(=S7m%5P)4eUnFgmE)UBTwhg2>Srm|OKtE7Qiy61DCC4H_X04{+mQrq zvr!%mg_e%s!=g}s_{ohbT*%wgAV|)C>X$@u!&TDoxP1MKLDMHLJQ`SsM8x`6qH#zF z*mQ-bX97YZAR~LKF}r4GA|lxw%aAhOu`2}idmMm?Ax-3wgCkANvlxOmG_flK@$k^| zR9W>b%jnvL2Dhekx_(N&1kUpv1{>56JN8odEGO{OPiOMgm6h5U8*h(O%Eb80^P!@~ zG&u+RAfha#0Ql?tKW|`1OTs9y9v(tZk>?L>#{KzzFWo}^JDVOkpae-HXG6-G0GqpT z1#YLgt4@zH6e!YLhM+`{+Re*A7@lR{pjh0r6mG6tt%_IJ$Jn*o~@1M@rtd!C%G0!>@I`dbMNS1`+^ z+qq(q?jYVz>u5EJiKGY{T{0G_e0f%JIf_^er2M9lEPmus3Eh1%ihBNhE$L3Ex4%f2 z#(xP6d8b?BK%%@n{^MKaktP^!b|91ueYU;8#M|oRipEuJ9(>(+yOHC}I01g)>i$3W zj2w>!0+_iF$<%Hfh_a5*ubS?F=#m8G3-wB|JnW~-IJn4A$9FY%X=&Y| z+Y}7?#36}nb}VZ_rO6tb(3LiEgndBfJ}pw|@jKBZFp_&l%u;1Z=0whVnz~Dyt`h|s z@JCD+cv8$-sn1+>k5+GzSP-;Z{DK_cJ-~dK!Phe49R;fcb5Wz~WcWj}SL@FUyiTe4 zg&6}+^KU#8=Y8%GBLx)SGtUfO#d>9u)ZY7>_sH5>`sth}S;v{Bfsp3`E1vt_CDOLaIaOGS4<&O z(@APxh+AI}w0&y#3A>tidvuN|4MGi`YU~v(wsg9YYdK%>$Nhuw&MOm6^0Qy_$jUH$ zC7r(T5dtp1)8j|!=*x>%cyN}Z{t46B$V^m{AvZ~ujQbvCRvi{xH$5rgdkcGIX_Laa zmS&J(@E*udE0mK`n(y_6*Z?oFEbK7Qb?}IwHF>v=7g!B> zaeKUll`A=RttGndv~tBwDNo%R#8in|7?dy{T^}hz)=}PNJ@2HH5~pU9rCJbZ@t@h4 zw_@?!XNCFUSYAjN8AdNSk9K{ADKAQU7{9rKJa(1?vbtQ&QVAL1A+!}YHmZfw**0_g8t%< z{w%{o>^2C5iF04Ute(KJB6A{yfZJNCh=??F2}F|VJA(k!Rj5mwZBj;^H+(ZAxY|`e zGcu-~E3~Cmca{0wbb3!FXmPk|cx8a*WZ2!3yS9HxSTJQyZ6A8~%)$oZd zefswny%x0Rj%$^7JhzQca^fW>{@=tH#tJA;Y&!L4kAEvQoPiX)G+jDQF4EF2POUdZ zCuj!-EIA<^>T_88e)#}if0}>W#yM)+$T^}8Qyop-XSV4A1rIo~^uL?!7nyY94=Jrn zmE%H~VuglK%3@4Egd0O2k-3td+^GKX7{l-vle9m1^Y<>YwWC-t2J!Srtyxop@)G#< z-TAe1Gm&h+G}y*4VrRaEai6*h)-ba^^ZL6}6yiqLJXUwi&}^=#bs#Mfk7<>fcxvT| zl*Y$6YI9CP#CDL%eJ^mGRBorCDOONbDzCSw;Jb1?Dr&4{=u216S?&q&Bp2Y~70zX) z?ezuszMYO4pcot~FRFbO{Mj1qhJ48Re3=PP>1HQPYrJY5oG23ZO)c>;wF(WHn$A4Q z%zCxS1DrkJyLU8BP{M9X2w7ucx~m=z<+`FEngYJ5Jz2B(Is*tqJFd1pzCSb_SqMmP z(5cG5E@5V|+&AS}+~rL_c~Ndr|=>oc`VkJz*pADd>D-`vK}6z z@_C*}wAs&@Z<54Nr0`TjB}eT30L(Mzq|^WVpseA4ovUI!h{bof`TXhfN<=_sD^S#>fk zX|C;#CJb(Eebmp)vHJ6Z?37Y*H3i|&)fxf_y1rY6A0T0XOK(((i4`HF{5Wb$=Tk8{&TQc` zIhkpIEquj^FLzt%)xG%5V?4jHa{yoHOdyA8GV;$lWwBhh*KDPAcsi00^SXEUB38u> zY-ax_+6W;1NM%;BFZqp*X|d9IH`=jpEoExiq;MYmz*+j6kc7a7-R!_yI@@#r|5Jbw z+{>|ePFoupuUj;QHDdz}$TiURAN;_Cqc<9wct3@%$@i?GNX8obXrX@@ zmIvb!%~khG;I4kfxaer4i?wn!UvB!lNRAq^YNprTzjoGFtCr+Oug{3@_D_#r>>`H6 zJu2K%*Tz8Es-bVt^)!cIST3Lp>qy;l(Bwh_5EZ6$E^3OjK#qLCD{N(`IOgzh)0d@q zDxnva=WM|%MY_j?*foww))6DDuJav??qIff5wTJ$J6fPcDN|8Z6LfQ5lFi5P;baJg z5ti#~H&yZY#@KhV81ET%K%2!s8>l-zFTIPWMoK+A_ARZxN|Yye*j8tB)E=5H8Aqm= zkmdEj)S`s0a21yoG9o@Njyjo;R%;0lsm1jkKH2bo;FI7_L%hC%{MV`c_J#ZJ=u2ds zR+jkf6)}{Hgkam0;|<*%H+XRfKk^a~Ey(0p`F!Z96oCxdaPD@PR_; zJI!6WJIz3TV+R6NZP&aXG>KO1tf4+_s_H{K1*BxN8H`RFo+CRL|JB}=$3xkE{pV>R zgGfE1EO(a160;kFq3pz?kTo=BhD0;W3^PdDC@uCqiKu8JS+mvfL{S=A?6Q=|maQ!5 zy@z_9(jU*S&-?kkf4raW&-^jhxz2UYxxVMz=bCfPT=&qaTG3{STJ+i@F?CtPjI?m} zVVPH>m%TFi=3*8Cg(UM{rZ`JdoO}*VO*aBH$p#H_tJV@>oiBR(2mM_z)_dm zyBzFnTTNV26z7aKv-a(4SoZrh&B%jULAs2~!Y(z+my_2zAKUE?(QPU@p$gLq5Wb5S z6*%h`m1-j=^ZXC&P&01 zV3x5`;`iGk`i6brw;mr#WLH30Ah4pExN<2`IeN{zKkn+) zA8}fN-W^&zQ63O`YyP3EeB%>`@uA7ubvL~qFv7^6_Ox~cbiFE#Q%J%32hWl`^q4VD zlviE0o4gQAzt{l;htqSyEIu5WB6>W84=1T4di7Mq3W40{$h6q%4=@(b(6t-~+l#HT zMOupawgC;}yryT~Y^=F!x^;?erqx!Vh@>+fe0p9{B!hAlY<6^bn&Gn7ID&PDXdD{X zvT&~@uPtWI?aCg!HJbD!@%Ikdaj80$M3>a|JKnk%?>8CgDwiYXR(J7cr`Fe+&L@ID zj;7Iu3O16t0S1n~%KmJPK%NvUqhEgLa!Gj4zfMu}Wl!|zoUE~;ofCO`ga zK_NRQi12om^j3N8n`N=j&gnH1H|q}AWO=?nnBWw*vbQROU0{>&I6iJeC$+P)q$X+C zNli6Xd2O6(rh7i3Y&7ez{ARcQDu>>!eZBO=IrhHi8qv2RWW)pvf1B|zc$B3kQS{Q9 zWl@L>Im-v`r?2N*u`|%Bn@UDbjJmDwy3<%Wv$^Gg_`32QHoGUlz^<|P?1SRTN~^WE z@^^~yhaDH2x2_?-DGq*}u=67Ci6|EWPwQk~kw(WE!a}&`ju6Ic-oV4-0!5cK-?vvW zVTRSEaYi9bo8S+9A3Mt-y?3ES@qxGOyUJ6C3th+(gl#p@=G$hnGoNp>Cs2tB(?r}% zlxp9E`a}783A;`{^HEPi)CT)8 zSZQz1F@nR2svd1R>?f%H?M)9lAD0a4 zZV-f#EymE6@UZ8Pc$+SWg!OwTYL9=g7C-yE=dcsYNUo$vwB_n_*|uO^)O8mh+J2V> zK8wVXkr3W%c`}FeO66N80~}qkbk|sC>A2d8qN&x-eao6-6Z^%~iFOg^IQFT7d_~j{ zefgxvVTF;xj+a_eHW>H?t9RPox1mF$c&3C8?2>h_?b1;o$8gq&mFx>U6hD;3Yy)w4X6HGzzmxS~bEMvhi+Lj890F3FgR&jpAkt^&Zo%vf_;zt+ZIa ztv7Q$pWC+_a)DR$wMFoL93;0y%+unZ#jYMn8=&!q#uRi9=lUe1M2`c&#i)9#nLO-B7ViIvKhlX%0NRCrcH(N&$9D-k(|shg+e1kHyF z*@Ipdu25vUzj}^1ZdE0UD32AGf2cZX~qu^zxnQsV<7{8YSBEoqQ-8+sj_b zPdIXq(j68yP#j$Fz>B|&bIx&){>q}3(*FB(j&_Q8((YBW;hFgsjVfAp>_MR(K7~~e zviL@Xy&(K?@GU&&?1^d$#ivGgh-BXq6l#UqX0McY@YuZSbb{ixj;d$fIlLh}yga_B zY#HZ-THH1to^HTIxO(j~w*%ryYCGer*5t_5g!{}~WZm7{XYWpaC+{2 z^W1x&OZ(VdkmGy1E}QZB<|ZF|k>5%S_JQXTCL^CVosH^aK4Y&xZp3^S7Z!TFSzxPI zO-H*1F|$)lkhi~B!fyTHr0WxHH*>wX3ZhW&+b6btKMfZhdxwO&w@(Jir;>8YXXNCWXa*4JD zk9`d{qMx;ASM{_O5#z%IhXz#rqISOF`05l{3kE+aqIU?s>JJK(p>M0WyTRg$%G^Sg zvdrwsUcp<9hof4ABzUg7@Wjzm@38Nhvep+ko__dfUa$z_w@;~HQiOMS4Y^e%$L8MI z0|)LGOiCgL?jPG-`b2UjrK0V1W&&%?pPh%9ZFPF9ki6#|{7>n+D9v>R+C8+Z{j1pt z{wlTd)9Heu?Y5z?d>`%DqE=cg57f6^*=G9Da94LvbZBRQRqLq=`8t#muOwwtN#2$WBhInLo%P4g73Z=j=W62A zk(vR!E;tG>0=htp)oGf3?$Q+}HM+-h6 zmZ@H-j>vO)ozZi{_G9s;ka?){{p_5N=8+Y`&p6DxPSTVatZlZ_*09p9F}CYv&AP+M zpKFthPO6x_>)N}koZTer(^qy3nmS|^=T3D<{A5h%dz!B2C3t@870ipf2STCUMn+mV z{7%KLEX%gMnrRbdGgQvmw@&eD>5U|ZoV71%MNIqGrWR`NNL4R(miQFCZ_MMq>aSs>F(b-AB zsiPZo#+-V^G-Ywo19JiA$6O(L5@&BlXTluXY~g&^$}#gP@+)HV;M@vFZ0?>SENZTe zcY9r-Ze8k;G6#-8YhK4#Vi3=I38npw$0_EyO2|oC5hd!)^#=#+lui!sUd|n?g)Jux1U!_c^#@v+?xFo8 z_t48X#dbBLm3&^(v59)aE)8OBcP|N0Z?-nRb;D(oVhknmX{z)EYq#EjuEE;8xJ*IO zd&XfWXqRU%HlFW!c=52(@nf;aZiebBHTA%fT*E_8UJdcNZ+rY&Ot^1&MCRU$XCkIk z7z_pz^_NSJdH2XzVn&&;qQXZdY3s-GlJAhJ(a}lyHKXDiIB3F}Bc~mvK_?$*?FUZ3~vT*IA$weW2+^ zN?BYm>(X?=!N-_^cY)7ylp+eClTww}u2J`d|0GvN{Zq|ZLcdv}MIuxg#*AKi)v9US2P zCpO#POh}I;tubB95-ef7-FzBSIFkO9Vph?uXLE=0n$TaeH zupVI~QGjSR(meU>wm;r`$3qc|hU#^9c!^l#ZEQ_bWa+1oO*u%Y(mi9Z!@62CkJp708*UTWquZ=btg}-j;_5phZ)0nxXSewaZ)a*K zi8GHU5b8VA+PsE2rG6Uy6rCCyVYtxEseu;@unYA1h9A#rAptl*bv*#l(t^P3EL;ze zi3~tX3xJs%L;wh|&l1qqhQM~ws6;C=18@XjyYvkKC+@Q!$rKWI;J0VJto^;oAP2#n zOozbqsJ;{ifPlbudXVUVBlmCpEWaIyQu{{r(nvi5gWyGV2la7909?_Q->5=izZn6V zMDit)X@G(^$<3Nc6ZF*2C`UD0UP|(*v!jULA4uONC zP)H;{1YD0K+@?+Z}FU{Dyq4fsk!qCxPv7eM(!!y$1P z_%a$CjzEFY@Esr6K!9HOj)p`1NJGHYaO%tW5O5qCbl7)eL7F<~_U~!nVDK@d%V-Gr z5AqO*A8bY-5V+;`fo#a-^F?9M=;b<47>xRI`%oAx3b)J_6h{3=J~cQBygR)ZVLvE!~VbrM%Hp27zFkQoH1aCEyomtL}Qox z9D@X(?z-F-Bu?!ITTsX!<)P4O%jKz|(LeYD3%YH&4lE9dSRRvLto>jg4uwE|qk};s zczBU%U;+bRRvrOl?!5rBq*58cVuG=Rz)UD^RA4c8a2xu7qZUdJbl(nrH3Nh`3az^X zu8+YY)ZuzK0}u`nIGi@{?<`K-#7JilXpF^s5QjqHun>890}Dflye`?@gJQt)aI>aT zz4myMz{CUjPtzUDoXjAABM9I`{+-g=#(aer0<-dUWh^dOcIIXf*wQ&=fScU7`E}_CvLHlDhviKmdV*`8 zE7z%b=xAvr6!0M7p|%)vxVg6;dB2Bo0F7)F@TWB~z>|n0L3OktS}YCLLGOd0Cjr($ zFA80Qg@+Qr<&vxczUPu*P+*aT;faTu90XT84UMny0w9p8C^aZ3ghq1HumqReB@ti? z59N9hbRv_X&x^kOK z;;qO&i;bn0mxuPhhn*ITYX``>C}gqmMT!>eI~7Ze-%Q{?pA^Hx?H|A>bk#-txiJsp z_JDJOf0+}vsbNKRV=xIcviA4)ht?wVKfNHLi`IT;(Gm&t6zDV9-=1H>1O(~7dT{~# zuV25E{1n8$;Q9sEPa*JA%)g@R7hFGuz)vy%imqR9{S*Q}#r%I0UH^P$2luG(P$u^i zIr#3&Q-!|lTYcF%L44gL0qgO9Hcr4|4YkyPL!-dGl<%u`92yP(rUG5;1ot|=-Ld$m zDh2C|#i|tdZ&m4kP;-JTq<^biow&PoWItf3AO$NKc>@aRYi+Sqivr-J5a@4b literal 0 HcmV?d00001 diff --git a/figures/chipdev_hack.tex b/figures/chipdev_hack.tex new file mode 100644 index 0000000..c10959e --- /dev/null +++ b/figures/chipdev_hack.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \frame{\includegraphics[width=0.9\linewidth]{figures/chipdev_hack.pdf}} + \caption{This example shows ChipDev \cite{ChipDev} incorrectly accepting this submission despite a potential mismatch between simulation and synthesis. For example, Verilator will override the \mintinline{systemverilog}{always_comb} with the \mintinline{systemverilog}{assign}, but Yosys will override the \mintinline{systemverilog}{assign} with the \mintinline{systemverilog}{always_comb}. This could be corrected if ChipDev chooses to incorporate a similar verification flow to what is outlined in \autoref{section:complex_tool_setups}.} + \label{fig:chipdev_hack} +\end{figure} diff --git a/figures/chipdev_questions.pdf b/figures/chipdev_questions.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ac10ca112c995713333eee07489df31454aa45fa GIT binary patch literal 92444 zcmagFV{|S-(>5C0wr$%^c5K_WZQHzK+fH_DW5+vovSa7udA|2M=lyqj)zqr1ySl2o zYo=$do*HsRF$sER22L3A`Q?#y7&am%A_rq@7(PB2Mp-j^3s*}bHV&fyDKLx@R<^EY z&P0q7wnnaIVrC`|re-h#0x&MF&Spk-FrFK{IH}h zVTZa5esj8_;2FMkLJUCpSWx&dX7;B4)A2|8k5lIVuQ^DBU%)}LjQN_*J z^}jU|4$gKzY@YgyXf+(FFB z#FdEiXLQOij3Ocqo;LrqFJd9${$Gfdi1|OZehmM&k&TG?KdbP6Av@8JkN*#H5HYjL=fuHjKhL3}rgN5tA0sQ|D-(|0KJsrP0J%?cbJ}zdW)zi#Ypdm1hCXS^o--{N~ z-MDA|=?!lav@>T+?D+MTPjyouVn?gI#F$}Xx0a8c%k%=>_xluH+~035tjBiU?YFt_ zf1i*2eV1?F4}xF)-zUEc?%u1{OXg9jP;;oZU?x+*uq4?N1| zuGNI0HP0KEjmUWl`C8&ghQ)}+3Kb&gExt;ZH@LhNF!;sW*B|XKd8tSCxWr+2nEE-m ziKRD2%F7+;clu^PEwLjJ@$UB!@<#t$-7eWpV1I~4>@bwbc^ExV=TMCGs&dQNh4A2E zHL&KhyOtTx!B_z3_m2};)!rX6D9+|S*4*Se=g~ zQ3xHw?ZN*1dJs$}{SK)GZl^4aagv-+7R6jN9`^R}17)AMiS_p>gR}Vk_^ET7_h?aL z-D^(RNK9))^+pTEniEg{QpiKt$I>BrONCZ+V*rncCC;<+=Zt%E2hKBSPtL%6fS*>D zpT{A#^#r|4xBhVtCPbardF1@v9c>cpQb87hFofd67B90=W&Q8V4YIn zQ~Ql_(Z1}nQvn&Kb1@+-IpV?4oDZ_M2}-S)IbWXs$;6o--H zPS1k+rs47iqC@tge>P@~+A-*kd53p6ahljcQtl6hA}w+A@oBbncD`(^nCBmXHuDzY z=Euz)rA2bA$7F0nLg6Uqy|XZZKVnlxEB{TC+oo1=wq2NuhDM;{WUPykI#LFGf=-Ey zcm|wPwhh+mzB$7X?t~8O$hAr*Q6W67UMfg;(Kr&l(k86YURc;iZ*zF+&w7Pp63LD7 z5FxJ{B9PN)I@Aj{&&oJaFHFSF_*>C<$4Mm7;S*x%wdzhn~c#E=u7<3RwfCB-x zOtpBSyd=?w6$)p|*nf@FPFhibIqo5DUyWsjM;eRgyGAEJh0`m$ty~WrbMJm|k#arU zq^$bSJo{h(j!wZ{o-$yaBcab3c}PGD-$7fQpeYX0i`8!TN}j(n3wK!8;{4OYg^zYT zSg)@C_YxL(qZ(~_u{(-pYAjB~Uba9 zfyPx$ZSk0gGC$#;dEqr zc{^eq?Wvzwe4zw4MCBt??B&cG1=SI~XPGZ0#m5A-a!ew1!I5lNcP;!mlg{^yzx4R7 zWrQRR}bnrYw4*St&W1hkAu;po<{f|q^uPpo@t-O8@5dhIyQ^vTlui?IVk_RVXA)7gRUXk z9&9XZ#RjxTn1pKsy?X&wBbXq$)`u~p(J;Bt`hNSp9onyWcCH2IGH^ougXE2;Z$NSt z6%J3?Pzmc1CB4FXLs+pnx-qZ=EJH`i>t@7r=H2R-MR7o3ehVvyUh(Z3xca3+W5Q5Z zD=~zii;22!r2ZM^!oEqZ6^rx53{;9)x|2_W3|&Y%l$6Ej;kX1U@*;Q}GDcgj&lQ^N z**-XnA-Fp7Axa!cC^9_$`&N<#H5=$iHe2Um;JufDeuTa7Vh6D!%wmYLFy|}VW$a=} z>WX*h1JHoj9m>OX(n4V4N7GK1<1VEPky>(t73aB$gi0{UqPpa6kAWzGG>*}Vd&EL9 z7;VmHZ%!t@)`)+}3;E9nl;#`|KFK~|qV__-5b?cZrIJdyEK%BJTacZG~jb+H; z2aKhVs@0dR+UWmkG}J0P*qfYhwm`I4&;=uy8I2dAG-jp|$P3;80C5I(=?-84^h z>`d^+ZSueDvWp}NMcbXs{}w)FS0wrQjJS@rL?MA>GuKsYK`>>|OEm=1QEj7@P!5@M zGc6&HL3poaBe6|uY~z;E{ln6pr^3J352k!)!<7@%U=A4(rUSQ@gU}_GdA+EQ-LR#O zoXcYB#v2!#*V_C57^3wC(}U0O!X%G^id=GmDfm@nN_=oU^`xR#pE(;zwVHSlA|XRS zqqPk#>CWiu+sGxV2+X-wRDdXG&r2B+@~J%Zug9!HHUi=oo(J(jh0a)43J`1U>-{FKAe}_uIpL$PDd;1#Egtk1PGC)VVvL^4O ziw096_;_KNM2HQNQjXXTr$uA4_Mbs$Dr%xMWOj(607Q%SK$$L)MwfDl(q{2dB7O)j z8BT<|qJjkMGBK68k{|(|)$3$7f_7*FX%Ms3{?K>pZ$fC*XHxV>5?b2IQlb5>*j@sg z;&;tEDf%m^+8Zf+);sBpRHDg4q~IceKA%b;JncONv!QHxtaHRF8x!-x{`< zx6;%z+64Hfc|4N+Su%R%OKPa%!pUbISn4wgA{$^!Il_Xhuy|RQ8D5r&U;)cIi)MC{ z#j*(lUCc_E_N@5N{cl1GcJY&J*Y)Hdz6Z&Y`=HMx>YVR2AJP@Qx%~Q8W{NeOrKO$7 zKK@Ur$TS$ewxXrK=$@nx_#m9k6um%6oyG3hFytZeweni72gVQ_d9Fvlqm-DV>y)WB zp6CtLK+Hjzcp4@^WN{2%u{6hEMf!-ADM02}oZEo0ZA)idB)Emw#?fYAfnpGw4X9)o zncF*2j6;>Uc=K#ngiqw*HAN5&ugp7_42dkLu+qeheMRa=9kjI>xWke8lwGrN*rh7v zoaGPr(C!j@mk65Vx)83JN&{H*GvwxVTCL0(XgA{Ed_rs&2ejtH9)VH{q`=Xhp+gkP z!hi_RIv0aMerdl1~ZZ#$BtQ-oo=yD zxisZ!#|h54wt^RR$B1p5!n55kxYi$>@gvBxOfTcx-0*1Tof;;48?y!s+A9r z=e{xQ)X5i~2Fz8e9F{Cory4J*AyW6~XOxJGsm(g1prNo>=qF-zV+RY|Shs|U1_Q*ct2hN&B$8pI zI7-L9u`$jS60T_V{+I-v4Udw@GV^zVe|FTwDqXv8tI|)^#n_Ow8_?GVQ3~jzRsT+H zJy&CaaZapYOXmU|Vd5HIl%H`c7UChbGK(>t52WAb!zUHK=E zzdrna1xyyKxz0{0CvE0i!foV>l7xHYSsqLlSj9wR%h8|@!3yh&4wi3~n+4l+QuPq_ z-uX~&X-aE+9307A&AC${9DZ@&M?2o6K<_d=x<*5@U_Ek2Bo)>JWo>ytC{+R2(`UXk2(W`j+=T+F&YeW08 zVNkzhz8#rClo=3L3eG761S8I2mgMrtPBp=?Vzs%*k9}xN5e?*V+AoL3{OmZII@#Y)xWN+@agQ+1YxQ(v?NjODFwbb^Rpo6qnX0d<1C@2uby2BfDv49M^ zFKN2L5}_HMH_=inNXBAkQ;42nrK3c%v>H#rVs~*9DXViluUJ+q*Qoz1M~EBdMPJ^; zbrnPu8NNhT2VKxMVR{j|g36tFx2P^l7!Ee#T!UJcN?obkrFm`8piHgkkyf8-sVsOp zSyjSpBe^7Tn|7%z^Kln%X3rRLqGf?^Ubl>%SReQEH%lTKG4vahl$TxlzZ(D5lE8SU zthO3aGUgDaNW-!6EySGgwB6_N79*`cyrvt+gOy{Z8zPQ1@3ZwsQ56m*)2PsYkNA*P zM%~;xUM`LDr994%CcQ|YM);7)-ye1E#6_6oWR>2Bh)q~(T-^BQk1(pYYq#p1L*Ht(-{_@V!y$I<0&smsaO$U6GhLU63fSM zOaZE}LKCO2h>D8hkugxfFwRr}h|n|hL3u9ur=GtsqC)agX^z27z=iUuu`Id3sjY;P zTA(s-=t7s8y(fXo7l8vnSqRKlxCAe?0BocnkoDX_4uotgU?^H^U}B~&SDxY%ve&{5 z3aiW(LRdHu8H7w5go->NS7V%|stPL^$bReebd-NMZcZSAU}RW_O|~CQnaE`EYEk}B zN--jZLQ!zbMo|gcP0(eDnzGKy)w5VAF`BEehAh#CsciJ{scMV1g}_-RRGM5XOqZ~X zZZFo#AjCjLB2hHmaLH3>ck;Wee>E$z-DaBh;RK}RmyRZvL6=I2d8%rDjh>l`X|-#t zOon#&8X1{o`6ojcnEqD4Zf4dOV7)L9`Ak+*ql7>?*I1qk5MQo8Cn)z{X(_*5AIjFb z0eY;)=Wlfr6oMw9h63$ z?gi0^zH>hIqi`owu2HLc)RODl@C>8VX1Pwnc65XR4XXvJaEthA{u7}H!3_?Rs0Me zMQ#;r++-~K3o4R6Ew%2-0&TsXzK3cbhqw1-EQfo)9u9Rvt)2L`Je3hGeLL<4L>@Q6 z4SRoq@}qwa>7g`pc=&}NWiYmt6IjeKXXNeWFT%r3H`m(3Ee`1nqJViNqnZm8+~%SPgQcC5amhf(8th{Dxo|!%r7&) z7I>6PE|!R!P)>LtE&)M^{etxJp7giCNRP()81GuQd|1nLErEEi2Y8kivde*xw)_%_ zLt?WxBJf%;R_}(dI`;Pn75JoIWoMxY7aIs-b~2yNMBqM7GE+ znUsU;L!u)9z=o$%;>tPrcFpIoLsdOG*3}#g7%^n=(BZ##DM{%WVv`-oh!Jt217r+q zVP;&e#|HIIWw0Yo1slcE+@)?C#a>#;69;y`DdqcE(toUYqfYPa7>=2fsvy=R$4tQ# z`g2ZL!l>oc5y(gw!Z8KBT(MMLO@l_MiwmL%2bm2}RnG+bOb{XQX)$b%^w-Bsvm1L& zjU=HXkX*3>)Ck22(L<-G`%K={c%N7iN_NF_j#$E`=t98}nv=bF5@w#ZLj6A)zf*@g zB355S5J;&gyr3hPv$%h*XGbi#tRLsNGlct0ZE`SV9h#ia$ zv*;j$J_B0(fgEr(dHdnxup^qBLgceCZ$I-qg0Q&I6%x>gsJ)@cns(AD;$<B#ZxJ6M zK-I7PF2t1tOU-*Vh$R*lQ9Th=EDpo2-?U0t#@aAxafE(CDo-Ffs)LAFMH z7uj;-(px${FKgy5Z)As{&CL%!7})UE%{>L-Da$?P9o>`240;Y`5xmLa#~7zl?)@rx zZknrSuULPfO9gr1v-=3Ox4KwP4EDy+Z?gByn!4634i!T#oYovHmPP{v*X&C595og# zfzp)+YUY5b#2R23q}U0yGpbSBTVrHKArx&qK$|F?EZ@@UbkMt6}Ze zOQ8pC%_av|_@jb~8m130SZl^I)mM6cJ4Q~C7Ti4Whl8TU0aruM-7nmx!_<>HMC0}^ z3&010fi%Zvg5XXL2gM?Q2Nc8g4QAE_ZNE3+Hk;3jiV`3gUT8*`%P+8rzkYG(aJ5ms z5EP&7$;;T;cR1DbJgO38C z>AURmBGdGk2R@w`zfB;&EE06FP+#7c+KE6joA_tZ=1nIg*$UJ>jHP{bECzVgJt(NP zyqK;%UCcFqT0GMFj8}BSXkJ&#_ZQzIqm=l{ofJE@aAP$oyX#L=y{U^zX8_vgcL@}Uss;>&d7 zIdJ3_00};zGrz|J=1E?H3J6&-udvz_oz}5?M!#kR`=+NJYTIPvHRacuo`SUJ1U;`Q zUTW@svlqlW4S%wa2#|z=+g-|!XAk_ZDgQszKE#6&GWUgxA3Lhqc=61x*K4>m27yU1 zi+&wuKYPlm4qRT$OKDdZ(>wA5i6WCDpUUQ4F)_ zX*sPy>>sUH77!YYb1CpCA*rDIf`ynd0azxXX$Oh62 z^9jnE#X6?zu-zFRu&43*-2eG#pkpmF@;FMZDo4!~W124ifha2%m49x;Ub>%Pg|3JA zs`>lKDxVeH=-p{6CHIjBk|>gDKv}MJEox)VP|Ql_o%B&5IV*j+QVq;>OYEwxPKoum z|DLk`DJpQ={QNZpv^&6E)b0{ZLMct@7cRMJ^U6Zdu&3@HEw?Iy*BviCsIwbx_?*v$ zi@=ElLS$Stx=&t=M(W4YI~%fdcUY=IsjoRRd5$owF|A~!eba1mC9szQ`CNb)x@_)c zs_`;6O4se}FtkiEz%+WcYl}UmnzEUz7VcIu45P5<({6L8&=PSua$LEs&~g`Wi8hRO zWica3sNAwPxK)mskzIGg`k{2#iJ1sNyPgMfm{e0U4=WIfs9A!a7R>c zJjhFcd|)IuwXpHD_Z<;>0NirY-I2qIaGLw4)?7*KrkWxxS^(tXKBr|o48L;7#QKec zMOoY1B$ihf_aSZ`_qyk9*WX?{x!v$$)jB0lnwpzSj~Qqy2QK@@8=%`Sq-kes{vKx{&lIqvz_<8D)LoyCzFA_ijsN_&J@w%SxB0dF?i!(o;3u ztyoGN1|6`&RF5|(VP1o&$SidqJvv;(DyC5{HP=HsBC6)E5w49` zX#T>Ri^)?F!yID~WfIjOt}yE-5!Ybfju z3Jw?A4~{R5{ua3|+!ti|+WXZJ0qFf*p~wBxOG)ik-s9N}dR4E^srBUd+l`A%%IMVw zq5M7~nahO2h;sIGja$$I{_8TV?>YF_w096I>45(88K;`{%!9AyvPiPfb+k4B!^!e5 zp=*?ISWWgVY;X!o{A*K1SXEI!qjlkO17!D!PZ7rCp<$BrL%pdXl8T+`eEueKYlFSV zG6;R}5={%H1pVw~#%)u@NG&pTg7(%Vn$|MOc}19{QcO-T3arww+$lzDB$c(2+99ye zm3haZ{i;$-MKK1tIK6$yKrseFE3f^V5glrV@UlqoC%90gBt2cUwr1W~bIzJ!?U9EB z{f>;+FaN7)s&UH)#8{Vf`EEFKJ;dLgs9IHVAc%zA|rLuF)yP zdw-ka6Vy9~MR|uc{*dE;_>cULi7#J}cA8fsvqA$4RwJ`U1FK#G3-LevKk`q_3n{Iv zCIvI~s+V&ZHN?vhjZ=3VjN30xh{}Be8}led8iYWlhWT7i>!>CdHRVh2tgk(3iH8*O z7gOl3c{<^7vR{hotjCRBTe=#3U~GzE_nLnlkLE^ydrdnw=3tO*LId-Irrt#QO~XEd zPDVj=!PcmFA0~Pt85@eLb@;pViQ_(&k;@vtsj1m{SnN>jJvaMyt)&m1KgWDboIf|K zv4Pe(gE12+j`GjHJ|M7oP^$WNv;<1pwFNEiJ5z+Vz&3- z6na;vhTOV_sgpNh9e!!vkcZRR@UP{$t|KR7IIy1`o!FFeD2x@B_g1MA4zND6W9g56 zD3g5&m|xsP0yRnL8LXE4w*=GsW*Vg7@I#Fn4y_smbdk8~c%0J(9e)+P9x;3#u{|FN ze#qxP9NX6636;}VZp)F>1RJw?lfkEqq?bN`OK_MyRZ8075;65*RQ{3bj6U6jpYRoV z!f!HfTjSz$v%7h2#rwwH!oc7HfAP*LBmee0aYvw}9r5fcBWXvO#H;Z{+TnPl)Vqq| z2ENprx9T~camD5iHTJ>W|6Q5iQAD@Oqu^sW{3`8=d1L2yQR7I_zf(lYFUV1h;&Sgy z`gGE%E4~Q~(C$z9Hmsz^TNVruRY`OmxJy`MCgq|bTjugV#ey;5pM2`Z8%$u(PQA|kJcu54%|L&O3pilEE9@{twBVLkg{%8yAe`|$a9Vtq ziloMa4Y3YTxVRY=bR!@Obpxk=PAGKx+*A_62UDBA^5}Pe4k_q&6)C#0@bTn~Na^_! zDiE~$Tv)NDkvtEKo=Mf{a3q4SjdHh?5cD^SId749~x5`-805H=fBq%X$m(bqyM zq4k&2x}9(xsaM1J_yOgvBMQ{8s{@mf0cH*+<$V&R!R`aTv}_vJ9Im37g+=X7BH{LO zbJ$%nv625l8y2S+&71SvW*=X7JEK!zy%L<4Y2H~fwSxk3yUu_2%v-IEg8ww8?B5~FBXuaSdOEyUNgVe3{us{jlg*Ln zW+i|PzAC%n588+DvvtBpFHJ4nM{agFs3#WpMwe}ZxHYT${Mm0?s@8t`~T^kN(LYj zX75c=ILc-~l>0JaeGNk`U>}~|{1sKc!(v=!oOB7Kn!rjACB>sx=;8W5-VIhke|_+ki+x-5M9KCy4uI6B`B-6Z@yY zy65&X#PJ#HyDYa%K4^YuAEB>^r@#-kD`0Bb{LuWvFUUg)A0Hw6mUhSzCNC6kl%Gb# zE8thF4NzsQoZq*=-)kAHUadJzoiYRYa`qMn)&y=Z!-gJ@ld}d;{Ln!T+O6Lg)v;E^ z{O3gS&f6tlW6x{fPXWH)2H$hvcHeKi-@8ZYbDUQyTDYxCI!{xUyBJK~Q)m>=5(;;> z^f5p8i2G-HhD+^H$wRtm0)+tHdws0?T6}^PC38m?%+O3OEA4+jOR(cut5ET zOZlbza@RxatFu7v3%&lQpG1fH;2#UpE1W?Qo`&FXed%~oCncJBe_yS{!&U~JZQs1f znP0)*9AlRTKiTp6F~?iGf@d3KcE1dZ7Y^X}90yjrsr@sD^l2RL?!#2D_-U`}dmye_ zA7`->m73zL`YPA!Y+@RUjJ-LEpmqU+FJw`@uebW|2eYrYlcWCc4{Pl0fX+~dD}(Re zBtC77(VU96sA8qN6%0DHD7_01HD?mNP;akFWgc(FB@(OJrvvV^<&lM7{mRT4gOr7< zEg$#6|ER1(qU03>Hgrzr>b#d5j&99RwR`FBB9_4W`9#WIuzUacjUUaP+G!m~U|qWf^ds(x?UP1z|` zA~78JY&zrOnbcSE-g`TM@&>+3N9HxyJnCYcO=UD*7W#j#nLWbIN_yz?i_ndP-3wMV zPUT&V*W08C&|NdF`g9cAXaaMjgaMvdw3)SL_SL05FVk_E0^8kw`6$7!=QSow8q`}5Z zoB3H~w`EETcx+w9IU!52Y)|36R=^rdswf>!qNrBVBXM4UcYL}E$CQ9kHK43UH+(rd z)#6@=&J%H{JJD-h9^kzPUquIEjfH(U%C(N}9FCv?>m9453ff+|znyt&#JPK%)K(T2 zqWZl-qP%6GrC?;ij-UQjdYNx;CZLS zb1ult%Uw}?NRthRw@SBSR`a7Kbv&t=WeWRl7k60Y2*@T`AYmS7ii)=#$rNGsyIfPw zpSSE*pBzo+Xx07*n6}yprjWpf*6y==1YeHD)f0JG_NDw%ybwfMLd-UEamq9dS0UZC4K5 zDgVk4w(qoPW}k{{x-Bo$kU~Z>-zgnC!~d4pIuIijWVY! zQ*TReGJ-2L{OezusgJSvHRK{PA}v8VU=j!Nd=#NYNkZic$dve)NG^YH9)Tv@ zwVYI2T_s3W4u;h%gxAE*$t!6sa(177QFC(}cAR$d7kx!wUH0JxdsrS+BWjVo`aD1| zH>xXsTkG>5ceRHBgvKAFY25L?y#!mEP<9JC2ruL4`k#xoy)2^8|0Y*g}QCL z;;8yFO#np;bX)@e5WPGubjza26b*dm=6IX$3#B`^4#8m1AIPy)(Swr7XxB|Nd#v=;+V%tcc79|M1m5PBVf@ zW8K}C^*L~L2tM|`R2pOKNF!!xV3FJG^b?J7OcflU+o5)Q63K=IgG3o@vf@>Cps+wP zG+m`Bq`*X1(7a9VIC4^Dp=9L33PSJgi)mgm7o(KLp@uH%4VxBS8DV5{K=D=Ve#~p= zi}3<=oz@~>E69U8kik#>iXt35n>i4SB@qQ>h4Ltr08vn+1{T{%jM#3VFMH|+jBvz` z&>_5tR2vRJh!9dJR#4nub6OsmVE+M(e6N^D;15s?vecp>{7Vrfcc8UM5USbhBEJ_L zGzq1lZ@gDwk#yCwB^+NFPqt@Kh{fHk@xRoaO{)EbZ;fw9dTXxYW0*Hrxv` zR*lSi^61m&oS-nsZE(^mo@8V~0tG`Ra}RJz;N1!(N&g3p>*BLkT~(#Xy7sKr>sQ*wkFM z+L<(OUa%Ou3~gJENQ-j9G)27{MQ>N#Om?q>cidjDos5oe#&H_ydkq<9ax3);7WYdX zw{8Y&rVZZdS;xUFh6?DXYilloGWjH6Z-)&EmWBTsh@{nWWZ0E5$2A%D_C=T$A=jXU zk8$^7rmEr^pJg~y(fc)38I0|h=)y5uRu79kU+zeiy+lid8lU0LFkafuRT4ol4>#u05#<;BYMprJM|92y6St zK0)*q)r*+~F?M07d(@Q=G4=qbpUe(+ezcWormC!foQh)!Hwq~A9l{maziVRbjU>-~ z73R#{McMBlc^+cy=qMgF@K-J^lUZ>@=&H`#RF^I)$|98H>Wi{_{d5wnj}4Gl zQu)peuvWGhBUM+65--=FPDUyk>L4y=F<}?z7+#L5Iz#P>gG2WWsY9&UL3tLTv=H{n zr4}&KMIrn$h)Q0(M8Ngj+=-X$fBE%du@_q72-h^>eI)u~G7w24ju34Io<3VfFMctZ zi4kDa9G;Za)aIt@r5V{IpO2Q{z#7xklu5VKK}leX3?Pj8GR;rY{bqO~w}wZk7G)*^ zV7a0()*uVv{EA!YVQS>^QnB206kz^BpJ=GE3?tmJ}4LSq&yp zYGmtfX+od&)X16zv1lTq{utRFPAH#H6SnFJjVbcMyTl2PdCstd1%Tf##u5&|PR=4D ztzs!L(~U3YJ=~)nBBEL&Ys=Mmk%Ctv4!H#ox>$(6N916@o5&kVhtDe@sn{d&Jx)71 z7_$T|d~-lFF~_5J)cSd%q+YS5E-L?;W?fQNcFGCsxDMn;7Qo3^`1!}b=;{g)o&gGRAs&oj2 zrA5a2m9l64lQIydq=p8~Oq8#M+5FR!=@)wk%hS9XgSVdXU=xFl211mgOW~F zM4JOjD`=b%0QgWv>c2+WX@IMQj;D)$6AqY;IY+e2!2O>;6Dll05`qbF+E>EOegQGd-FSug@Ji6=tTk9C8B8 z2t*1hH(JX>WEH`b=+Vs*cmc9e4GOc1MKgF|jFK`RICXK~YIp)OXhog4FACJ_A^)iM zy0AJq>|}kCt@glv+N=V+2x61Odb0^MuN_GeL>y z!8Xl{+0dwH=NMPVotjy9T<2D|woZRCYYcW9oY8nbdut5xK8hY;ZvCY}E55`>Psbd5 zd)EsCxLvzxdOB0J&iiU z0ZDv=wmS$V;?LDo11f1>Mj#B_0iFjU2w~A9OCSa!cD-;PkCuW^mwWE1!Zghe>Et-m zG(Swacdc$6IkxVuo*4PElDSqgK~z{|fq3!2T3zwtaa9-PEE-MN3ABZL8JKw@_t?vM_9}AVe-QrK^8?gKbITBZIKCW3bLTK z@H_3&$@+O%1%`+0f}k6Qrfdw8hQi#oDjV47yB9>jFSNH z0i#R*Q$@%mVx0#sh>4$T^+jx`eCLI7A7uEB&0rdL5Nc4-`+GyY4xANgAm8BfmoUFiZMHLh(u92qikUILW!bVGVonJqDJ1IRvWoq zvq=W-8GJ83vCs#ZngALv)CxjJ_C7_W6U$n}`VJ`veR3Wb~f>y36u)UG-y?{1aZ zKv%nNzfK!>^tAXKNS?PKDQYX*1$?deOA=hP6{c0C?%o`?f#Womu-eT0Vr^O15tn0_2GwNtzTq!*+YDCYN31u zmE-guy`eAglinzrv6Vb9w+a^jA}Qu!3FZA#Y5JpMvkT_-9BZ*vHYDlcVMm=}faRAEup`Q#tBIF_H#Jb@2-(~vjk`J60fv&2r1?PDucFh$`y zGjL5GW%9AU`y@l*ijs#r05(0Ji-06CNYOsvBexP|dP-ASuNbOq@ul(3CQd+~EJEM` z2M%XJ08oaAn5svRn+d1{OlyqZ?p`;D&Vsr(ipp^F?9v~;$ASB?<5RXq-T_!^aG=5Q zsPF}bo8+~DLnL&jo&-HR8;UR&D9N1T^v_nL zBL9t93V{mEl3Zt*mJnCeR$q460ZB`tVV|VZs-?y1gD@RzSRSh(O6SSH5;toBZc(nXB~v`r0*Eo;%jA37>Fl@ zuF`kO-Is8R&2~4P@yd<=a$P)?C&-WeqK4r^Fiw@(N36nAY%+nF-+>dCloxPRG3Udb_Cr_n`kr%F{!ps-9!@VE!QM3dXE|w-x4eb|}X_Jt;FrP=4-O^RbaiR~wroa=qgnqnBsJz%BkW>gEEk zEnL)&(Sd}~%kOubu)p~(?_3(59XHxkg!;@wP3Zb6TjYBExZiux(x(1`ZoFH4o#lI$`GMDK? z2@fwvbc2uPrVndH1sW%=hBNBJXdaaBMJ}rdM}zd-zr0@o7yog>AS<#^2u{`%l04??W0yJMiId)#J`0Ol|I}iV$OMQR5F&hf-`( zt~Ha0cEx8L7-!qUP%Mk0XphToL%|epc6;`8C73`|FymS&p9s3-)ZN(D@6OYnA9|i- zRDY2qNGlVm2&-mYOSqOPlNQ`B*xke>G1d>73DULf(x7}@t7VDJ2@~(6b7Z zd_B?TqA@vVohsLI+Blz_WXWG$61f-%53<59o1#iDrMoA|kg7}XjiI|4{wmR7s=ifB zNlEC{iDjLe!CyrXkl5AAdk3}>@t83OCy4`$!Cm|8`9_KM%s}NNb-=m|#q~#zO{6gY zTDyz3;nnu`g!o^;VpMyI=p;~tG*(RU>&FB5T8`l&RcBTt7EU%>H z&8ir|o9G!sZ3LW(*BAR+txnWzoBDWsYVlMS@wb*wH**N|HY?+f*8N_9$ko34Txmh_ z3kbRDeb$h>|9K6SoEPfFdm<_61}1)2h|@_2hq^7jiHB9>?>t}_o59<1Ow~e5d)2cj zOW`{wV|mkQbL;Dek9}PT`epThdA;5U_T#``8!$-Ze_r$p(#}~Nz1Hx3chJuDZxhos z!)+U*rBCMZRG*b@9up%)gA?$3U;?f0jGtWqdB(%p8R2AGVFQ*SP4Yy5qg4eEG!YJ& zLz-aU_;{~*K8f%S6;G(tLqXoWgbv}Xwk^#@ojwDevO88A`_2*xX0Y*gJo5Wm6_a{% zfhcnyZ-Gq26wK7Pp=oR88Y1&k7c06!1Jd52!XkgWdh;gnMQY<0^57$y*;RT!q8AS8 zO#HFQv{gHL$eGcX)cfE=nuy9!n>qXv&=TgAZ2q9vAgajL)?zg^a;K0?%W{$5Z!r{u z|Jzt0MU2UAxRqWMP$kNRb}*ey=8)g0&ktx{jeuLy#w2_%deEGk^DVUIYmtRlSIR*H z7j{Aiq7`0b49lerqlqkJJ^~vQD-fwE1e*55xrYJcgCd=1ob)@KO zw}xr#8V(OeA_-jQB@rasCrCCHDW^^$LBX;4%z;c)qyzy02Ab4D&BK97=UBxmBT>Ty z1fjOfx`XL;zwZDUtPP`+Ay<3t*$ZyIwaxwE&n_FH}SLb z5Mee?$Z+EU;i7qQ4yYP;sn4Agt5i#IRa0`Me>ITFP2?w%&?Gg|Ra>?iXHX;+3N<=8 zJbNvLxa3GYB2k>ZFELLLh?W;HOj8mXjRv7CzIsPvQ#NY-O_o_roSDNwORfiFiw*Lf zOwIMUfc2lEEKS`wJp=J=1PX#H7i`=)^(=Bh2jM5rooOeXMk(6L(pT$UIxNXf`pTAT zgHeIbg*m82_|i&TlBvWh6&oq_MtYT%e8ex~1{fEfVG(YuxLaZKTWh|bbXFo*po_$u zm5l9E)-tL{bs?$LUg`#_pcT1j?Q$E z{=tN;`R1_$fMUtTxQz#3Zj6ycn44}A89MOq;AP%g_bZ;af0xR3Co`P=M!2#w=}Bg= zHUT2H;mvetXTC{dkVcozXbBqzT_m@`4_PE!Bx*H5lWW{_6*Kdu~{ z<5Q>CPd;}zLxCKQlv(*Xc$3aB@kV`yLLzF~Z`n((UlayjDx{qHdmtF2QK!CCR;nRU zI^&gpk(`703`N~ISl~II%3rN>INQbT1lLK?CIcwxgqjT{5@KGjU+m=cS2D#GbW*rl zN+1+4Dr!(r)Fxg&DigCY*cCDpc6Az1C5rQ4qYiYq5-1&!k;q7Hj=4$-1{3i4l2Sj| zSrkh1KTb5J#?@NatB05@@HbSwEQMXjdfeJG@^iGRb~l5T~1`9(qu7TwIZ{< z=x4EBYmz)Yr7oAeKtmyA0b0H@l-NM7LM~)uM`uT}Xrv2WoKs%V933HpKl*2FBi$#!LS*U2KqaH_`FJ>8z(BeS%wSB>r6)lk&xtJYK zmaR`?HNU@&{;m=}t=6X;FPdY%@ut=6?!4PBvN1d?)*1#ddtE>h(;}^-jP<&{IGQM~ zG8AJ8CEkGBDEj({UMG8qRI%LOM%YF8e^GhLP6Qnh$WB}?w04WiSX41QWY_s3p7Cp^ z#GmuT?4fBoHOgHvOQ!o?SRQB;AbtK#umtkJ z`eX@;SU=*nUBD8tr6$D;PJRj(D;(N4m|Gmj14ey9oEE1(-M4T;8ZtK6$nYnts4Qk$ zR|7YYdi!R5{}M@!>T{830;~P=lIY` zNty>D2}KX*VXZ%)l~#mwWwLQs%$N%)0I;LMlGn%-w}|l`C(CT*M0!vfOR@3{g5-e+_)pE8jl#nK@MJO2yz>r_cY3L&eOX7r z#)6r-N7d!$d5<&7UyQj`tGJ*netN#I}!f(2yUSilm)i12y&pI)Z0%rJ1Y78DKpCH{aZ z&pRq~rW};PjeiV22<{x_o(AP{GM!8#p2Mje6-I4hYG3%e$5O6js?8{JFvGggwLw>XE(2-arslil<0gSHmZZEjM~ar)$r2H6YAoR zP4I~-5?WAt(){R`o-gQh<}GEF2#G8x6DnB7_WIcGC<~v?-AT-DRVqte)K)u-X+?A9 zGx<>h5jv^`MK60ym4O51z+8k(z@=J3f$!hoa7scb7O_kh^`K{?6c-tJAl6ae1V(qT zI%Z6A)PD|?wTdcL$5mX+j(}VpwdWhyyg_}Fk&O^a6?J|`R|*k)F}aZI#;pWSc6FXl zl?I}wM$V39!Do8pL0dV%6f>lAiLkq2l(UdRn2O`)kq0u%y@mtEzc#wPA|b9qvm6GB zB4^uRw>@awiwj?x=Sx_02d@`B$9?B-xmz8vEGxgnXDRh;ks zKd)G$aP(4DBW}#{v6&dAQjL0d+PiF+7g00hiKYiAD2NHv--;#O=76DIM+G@)CF zORGwhS}8j-E#NfMAGHpkBRr-F@0@(~ZXD2T+>2V@YoPgliJ0;9l14B~-!Bf*`7H}|9HY3<@;>K;L3EHOjr?%~7#<}mertW3vVm;sRAMaVs+bR2X+ZC8DkfDhz zKNopX^T=jeDd5pysp&~qOU@)e*qwzG7QZ(MR^%w_6XMrm5@v>a5!OBpGKeRlT|4}E znjr$DsE$9VCw2&XfMTL?e-dUYH|6Q;amj=Z`{?1Y`!K0k<5nQ5MT=;JTe~0NmXw59 zY8WgdI&_fgT=%9Db~iq7{QU|v*@xLev$VYqynJmrnu%q;=*XyDA{OHpLh<#42q^_+ zDRS{KeM}k3hq^qI5oe5$1C*(7W*!bRt8iunn@N$X5{(ck1$r&=0seesFp<=rP+aDz zL=&?J>1fuj_Rsw#$i+n+tQ-Fwwh5P36>%WVIAs6uYm@@})~wyYk0`U=7E z){`@Ql3_wPMQ9DQQ85riK_p7C#DS{l)YtR07V3jv@65Y%$$3$6J0i4`W_B3uPbY8S zR;l1;SZkyH<80al-7uk_5y*G++_NU+qPsRFqf7`EMubyrB?2{)`~tWdY9Zf$U0$f)p242WnY4*C&b(2A zXv~9ZS-o(nxB@FScp3-Z;Nwun6kp!M=C1nhTxjisInUiBazO`q^_)Fi|CLRkT3%wi z!os1}q2^DCAX6B1nO{CwH$~*Hb23S1ysS$4s;U%^dFcYf1O9Nq?fSy~bJHohI1JRO zEc{1#{n%1UhCG}KzqvTBZR3JO7D-K^^YOXMnwDlo%X3B^lo#2kGZ(VZ(d>r@p&!YI zT6#&#w1EU0@(yaLAOT^Z6W2#B7EkT$WP_8BcMC0=Sdh}j-QIJMDn7Gy@Xh+^62trG zPeAR!kf(Q;hxL_>cS@*WXi)qlLEWK9j2I8EKVGYNW}r?Yp2}?scTiJmeziOG=uO=06oKu;4->cMvNURCVGR3PICfUu#2&) zE`04K3N~L7TUO|f&cQLyhk^$r))ovu>vpBi7opBxr4`K9fuz>^6qz6#OXt#wgb;lnj|{2kBt^*!Ty8Kb>!&{oYjy<&8DK_) zeut`W58I8r@+?LRoAbhS(lA%#gN%|Tyfl7C6qBSaDxuq*VsTyAz{b{6`=SqnKEj11(QeG_^{t-12<-JLh zT5^xyOwg4zQm}}|oJ#C#wt}LuO_!2^*8E|jE~rG%F9ZH1C{^>PRm)3L zrjgs2PYiTtcd~c>a%!do(3r9?+H{WTPYWery> zM6TV1esJ%z@Fy{LiQc@V&~12ZUhg2@yGv_mrVFvYWzGVfZU;Ua7v2v;OIUDvi|QCn zrNFWmO1iSqJwMiHqK(K8(8R#%N3B+bK+C1+Tf_XeOtm(atU_3 zpLxO6kn56n_=)5i#=-xf(V_KMyUnhL^NhBHsVc9ComP6pSd}-wKB+Gj&xPI8Kfq~s zb$NacJ7q9jZjUf=AGF1Hc*inM1r&cbUBo-R5Iu2zn3L$WWzT{HFu;IHX}DD~1Kf2V z#)Y_05@FZRky@(#_u>11WdzaBIn#}kaGj-CjJ^i1IqEJ+n-X=wNM;#N*%B`nhX2TE z6L1QYwqi`0!HZteC8^*av?%FB9ZORZy=G*kbR%e6d|Y)3ko9vT1j!LQSeFS`@RAZ| zn45BI8%)y31QAsG(Q&IC0>)l0j7f zp&B)E*Ix$2@jRLSn&{HRGB4G0OQ`YY@eblmo0=asf{q9WnmEn1WLe5aWO%|VvogAH z*c9R&Y3y^jUeeh1GvDzK+s1U#R4}a2kthjOu7y{L&y|fw5FrLO4`W7`mA~ioMqa6- z?QlQJJg}v>BS1O3(qMC1k#`TPF)LVW+!uBz_A>6I&z`=8}Z2CYxo1SjLd`p zN{^<$|5kE|7){QfN9_5%PA)6mHBFfNqJ7RcY>CH_q?3U-T9B|(4i(Y-79WbjMfuCC z1Bx{-Y_LH0`XM>(D+G`*&ncb+Zm^H_02-!bItP`|gm~eiU)|fp`L6(Xzk=9{m1#G& zDAN;KCd>6r>Ald@zG=STYEi?Wbt)DB>Hen@s1t%~ z>JI8$m*hA;YEzp7pvgHY%1SM{*hXd(vN83ZZs%cL;JVk=DS1gqa+u&7f(o;W%~VQ_hJlcHK*wk+VdZ@o}tI*H(8TEMPpOxb9S} zrmCU!?X^DtXUFzS)anCi^IJ(Ir|m3r<_0;ah5(F+7D`A1FQ|qGNc-!CFi1^UMQIJf zrb6rXUL1H_DIZ3Nq%ndLG_hhn=$O$f;SKgp^A59{6r@`4Ff#1kS?qfj54l0Pdjj$9I2_x| zsX9gI)B^%riwe?is^2CcSJ(r*W}S-=L}t%k1VYczx_mMr3OM2!#>fmr7frXezZcws zDRJH?Jcupyr=ZD+<7$SedTU`lNHm;O(IPQvU4wbfEU}SEqar2xaTMn_fkk39%bw7J zN91@Atg>ZdwFytPj+S!wCVYDp99K?0+g?bA%_;6=RA5WN=S$f<;my^B z7q|G@1|@v={-#%A38^llNHI~W6Rcb~65h5CS{Z<-rR!2HdG|$QaG?};W>K#^-2b&U zm8DLl(EOkFZ_=WXN$H3I<1VBXeYiG*g37*-Tc{ZEg+V+QxAb(-?flIM9ef|8z2s^N zUKM#Z>VL3aI>;lBR5gdC5OppPGX1d`;Z2A=hZKM`yhmc=eJYx?cItU}(vX0@d%2Ib zmo+|2?hLP!geXx6?z29N<;D0_)pjO4od9>6Bd(FWV&;Yr>lmUO|6Q2mjO`N}uJ3?u zP5sefsV8N|C{;aC7_;ArSb&;F7{L576uZ_zIKZ^JEPyf5tsaBQc zH{GapY)5!qoB$Ipdhp^C?-nt;jyB9=r|(E4At*;EU@UwPDjqPSfFQ9tozsxKV{4SR z0z43}crgJe;LGv9dkCV5Vs(qkT+ZzF7Sf_X*LQfcOTBp`FM*Bph=hx44Kr-b;3iS$WbXyb?=KU7%6jh+lsVB5hO2 zEYvwm@JaC)$wVb%Ggr{kl*ol3h>rhD-l;i8Gg5G4NuZxf=fLt);T2&$F668`reWYI zOwA%U>qJ*OYSqX z5@A1~C}hadnk4wEH=$~tgj8S6Kt)Pob0tTbM))SBUa2C}0Tf_wY^1|b@JxGZ0@F4C zPoF~QW7v{!OG=@}Hws{x;=r#>1=nsMVKjYUE{X9UbQn)BZq4u%ihXy9rD@c}B!r6< z*S|jVSrxE((yFt;8^+WAPyLd6a`EPE8n+xMlB0Exo#l;wCVU@{%ly&4gu1rPFv0BS z7BLpTm)*{84wjyq1!DEz%Hg?iZ=P**WJ>x5zMD3y5y*%Z%EV8>L+zgvC9r?QFAv4C z?RN2qV~+X{)u$p)0EO&j$)7Q?u$UePJ_$08Jdy=?{id~A#-Y%EPX)cxhX1-hUkVKE zd;d+Dd;bpnda*ovqvQV}M+iO7-rBxo72{go+!L5}o|f?6jx&XNJ8V&Q2$GvefYuEr zqF8s3Hc?(Fcd!r&gw+ zDb;>zEZ=zz2ZNftkSCQy{y+l8_!){c-uED@IO|wD=zPG)lobpcI@5h{QXI(nv=o+} z5SC3x>HHXGnH^w9qh0`P!l)VQzGm3{;;r$-R@3NMoXYV2LZR47g8WmTwA}qSjHwHl zKDB7-^3xl*o`9gJFh|2Y({_CzhIPW*{2v+*Xm->@$v$kVaFOsrrK3s3Q$7SS}(Y74I& zwUA>&`-&#yI%x>$9F<wKI)sxnnq1>1XvjFZEAnYH&z`cd^ zcRnPGtUU!FL7V2yF0X9=F#+TtrYP5UMDZsEx%G#GQ^JN=Qf8X8T?t$v2kGt< zlG%_$k-xmc*(Qw4g=0G~9+h3_RdkgdWq>DjSeW;9L^?-P19I(onBMdcuIPZu=3J(H z5(N^+XC@tyC@Zh7^peaC>bCa-O(8Q-WibrZB7Of=MG%;}bOJ)C_IoyHUliau?t;yl zkl{0x>@sCBEiq6Lrqv5_`ysRe%CIdgtwCP`CX3f&qyE?wP%WT$REa6-(&#dU`ZRD= z5WBbOvWG(&zJ8?@w%~Ka(SlS`x;>*FO7w*i`A6DoZ1C;ky3VKpf)*qGm}}9!!l&mK zGA1)NdyaZ#(E4TV4ulPky34{DvlO89#8y=F5B}mEi6!_ZgPW>m-ip+=sJoRggn^yh zB>n8;`uR$y>Eo1ZwzRM*@YhINq;T??DUMG24X*4IC`UP?pt5Ia0dZ*@K+ z{Oqv@J|ny`SZS4g`h!~2is?)~FILb8_$jnuE4sXjb&t6S=yncOAWI-KfDpx%EBr8{ zkSb^1AUL>pOizwP9pGZIpy_ELH|^F)(azO2oI<)iWvhmTAtEZAuDXn)iX?fXiBpL@ z{Zp)Z<;ecvXrD?0rU)8x(mfO+x?9HHpR~LQeIW7$U|9KsRdjNzvzV~q&SM&E#8oPc z`TGh$08E8MnsvftSPh+XoOGbOoi3ObOQLjG3kAhHDx|SLt=HbFqlUc(66J(-WL$0f zH~pf?O}xsC=V~zN>5YSdHyF!AQ)nq`r`BYpGlt0h#Pno3rM}!NZQ*vAyFBhlq8HH{ zmNhE2yckSwvzoB)Nw1N0H0}j9{LXfs@Ki&M(KC)S!W&lo=@fi*Wi2^;aTLinK^F|P zjGP)L#3oV@HaL;7W}G`w|BRiiXxM$bzWEYCmrVliXA28hl!ZcLM7fgyh9~Q8`VF-q z3maqh;Bdq*`E;j~((T%sk9c-4^%VF9>d+&Faq{QceT@l9D&TEcbATtsSujmywWMy| zV+Gk2`l?85DGh{(Ez2sUg)576JC)`4`fv^;upyc?zKuO>l)VZKoL|LKx1Qxv+JYx> zHM{$3MpH*@l?##TlA6>Qt0e*!sGCa;6Umw~ z48iev$JMtoA|_~8bIPgX#Nb@W5C&d3CSEaSE*K^rzKG^8()sE>1}VOA4@xZMT36^?-Qc4W zDjd^2IJbn|txpo;z3g}V0z6VNWHD0w=%n!F!s)jG&**-H@XH^fayUR63oPc7CaUl< z5@ZE&4kgI3!ey~}ERg3}$wyhK9L5g%euMdzZ+L=K}9i4hkb$f+u zdQY>aRnj*)RfYJzS#Dh<$SNEBamcr=&_-U8ZbYYhc@y${oeU!a>=1Pi6GQq;rGl$4 zSFHw))4?!6bPxcJA%AG+Fn^WuaqK3k!^WgoT{Po1Pp4rX4w1K16FLQEgQ7n@fB!dX z#~Skf!$(e+)AV@|jI8K;Kl?1qvfX>!YTSB8W?d=a8v5#yesBzQ^Q`}Om;gINHN3F; z8H7-tEtMnriU)+V4Kne2MBx8<0ujL_anW6Ttww)ruBW~PU@T1B*g={cz?PRQ0alDRc$7Mk| zbz$-%Q(PJHkUtCjN^5n(k7}$D4i>oqiK`dmG8RR*m0LQx)==n`r{5WIN0Y@GaWvA{ z$S7i|G9;QKuW%^*#EBw%VG!dby$#gK)yF0dxj7^~L97wcg1+_jX3KcSRCXHZYZ?Yc zD=Ok}jqJ_h^T8V%yu<9SS2>(_B?TEGQRzrl@$UWGjR+0=t9xKjvcT=#Y9QErD?Jay zzmH)A&lRdx{7)4iuE?`PwkGIEWtn&m7aT(WFx&q8=)HDU=tTv{0Cr~<9fz~vJB?{w z7gG;L`NIM7a1umnZ$g!a_;~@2mApXyc*tBD_r3nPA``qp(joiVY~EIlWEr&C>}8uu zM2I;V|AKu09VEPgzEVwj`QzAJo{7*H0Z^6usq9~oYixyGo5L8nH*sD@0ZhE1o2{y*mj!T2Bk1O6aC_>c2* z=J^OV?H;gT)~z4HF*|QDbpKSL# zrw#uL{msMizDdKtziF4r$2u)^CocfB%@?C5md6L%_>m<tMS)vX9N+?Se`>x` zkn!Y%u-wh)6Q#xkQCcd9*7N9ry{J2v+m02hNnV2a3oHm?y4x-}CwLil757y%&J}Aw zWQqWCo=JNv8I&!A(`~NtD09xWp_gB0sCow#iV0vc8q`kmSk7LUGD}OQz9x-)T^MYD zDl}s~vkwLW-(xp5rCWsMO#xKU%b_@r&AS?7Y(aT+H=jF36ch1mSwywRlj{Y_ET1u8 z91)#8hmCQN%YHd3{hCWOBR%HY7TWFUCpD{j*JLSJ5-CsurOk$Pl`}$?Ei@^q#a5_> zF0WJwIvOuyF*?MvpaF!{N(Zsq1&y=|`f9^%nhtsk^dTTC1&7_`j?sWea*Ifs>qK1z zS?brJ@0}6HG0uQDmqt%boXbBzN z6V!!nIeb7|>5utLpj=_|%Z%jLGQNO{XXI;LLFdqJ=lsT3a;GSH=%c861i)~-3nq^< zR=%K4b9Qk3u>)Fx)ih;qdY$aVdwm^ls@(ZE`?f3!vAZ0MNqB)exrm8GRHD&{E`|JM zC>**YrO07hU{G|U+gyhr@}iqCyqYnaN^1i2qgE1jcqQpw}yBuw`lLI(V0Z0J>-h}*5Y5U9w=^bo-n zJgqYW%mP@wNI6o0-6ZgKkcr6HC|lJr2of&s{SZwbXMyrqdR?XH&h$ zOC$MHlP}Q7ItX8d>|Y8|-yT7R|6g#b`F|jrhL>6*c*;5`@s^?c2o>hCKqyaaUYK6H0RvBi4XVC?n7QeU;cP0ku3V!(|Brd=+88n zT!vL>2Iz3>o85$Atd+Q5ZcAOG&>HuIGJN1@lzD$hM$8&|QUtH!`dyO+`u|G@z@|sH z$5xXaEIV2SGiG(lIBx4f&LvYmN|g7{&Y4Cbdg8W%|0v5e*jwPVYq3PW@H?$Jj*OSn zW^9@@FP!#Rd7fxjg57xy1@-#b4)9+TXZ)T0)c#+UXXT4y<%&TZvGmSskhyW5zU)`N zmyQjhSL&+VG(3W<;1x6Z^S)6MjL4`@aW$&xW;oTS!_qWl+Rca4l9m2)YW&)sW_fIN z`DAd0t-W$8N&nsz4Ug*s4Zc6->MeS{{~w}iW{Nxm^RM{MSXIGw1AFPfhzobF^X`Jm zuMJ5xgyA=u;!WaG2mZDAPH>WPJsllx+Zrxl3FuYFD3imipkx7FYE;o?7Her{lJRXL zt=BGnc)2x0#pto0?_hnV+kmW+$iyjI;8fauUb*%A%OqMBYD}OKvF`;e&I*+=FkRsAp_u{JGn5fOP z05Y21Rp4q`LLnxC=V$hIe+Ys)lQOrM@~oACVFDlT0N!aU-sy7$NUGWwilcrHN_Y~j zG>>ruOE9705zs3y>A@ikyJZYNY9Xq)s2Xs0_tjGzw_7t5$FQ+nVtwkM0uN*8VwsbT z72xR|rBla92QuT7dUbQ^0ZvKRMNHE-_WE$6nlZC^7>%Zi#xT2I0yQ=1(-)tfmPa^b zqmt4SHl7w(y5{;|YHL*G1op_2ABw*HP7^p6P2RD;Y7dhDmmubc4b`5RbotoxPQv;V z{;=vizjPlu8@v=Wjl(1{tNg+DK*?*o18u_K?{6v|zY&BhV8D8Sq>Dn>DBk{-d|)u@ zs=qYXzdd=BU&Ps{<(aQ$V=n&@d zSXxKw4%J|MiYRHicf9?sId1&Yh7p%j~okS!Ph3KmT~ zuh>IhiM{FaZ)I=bKkF)-3z*sX>>_avZ%cZ_>Lo1&-MbVP;EgqyK~54CG->MmMF57C z$NO819sOWef%1S&F`YNf7y|oNl1$eU@g?Xgsu62b5Q8Jt^vO>*ODO4}-QnVL)_^8= zb}dhXT1?;i^oyoES+2mV)r?WvS&OfeCJC_SOjUk(iY!7&sCn9}sfZ(po4T7A|X*?f=mTHX%j+Nyy<%f^&wlSX(xMRoEmF+k;d3e?ZOPU;vRg_IJLdilu zZsMneDRcB|9YpLn-o~Ln+0j6evdCO~E3vBLT^=NQCHVJhq&TJ@%gOdR4u3sluo=H_ zQuI@L!l;SXL~X2r3ivvGOOBWs%x5emS2i&p zad%JnC;5h`xU4RdU!9>SKUvKSi-#HkOK^F zedx(4UZehg*$Om1D1G*>WyRn56qXxO>S21g>m?I@>;%HEMt30JE5$s1xK7q<)9qxc zLYyCTrA&HON3-f5Lq7wm+F_5h9FuiVHa}k~qeGuF+9@fd6Nc&_6Dp%$WDGYbmr(R4 z)Rgcgbn?8-Pl4}O)YbJ^TB!=F*MNbfbvxrZ&TPbaZP!X4VlH9y)%jd^!&~%C|8`J) zc}hF@%cCENJyprG~692j$WfKfJm&iegcID>!{e5 zfw+C6DyXTB)+K*8;iuuz)K;gksmjPl4BzIl{2Tqag1X4$#b!o#_mmHk^aQ{ShD(a`_gUp zvRSKTg`;o4n+`g%&Yz) z#>96Tnd_A1^Tuu6zucI0LM#{B(=JJHqAUVCz8jqQYfq?rS+i<;uyVscD({+5;F?n$ zAolT?QwYxcmFG+d=S%=+|7TNIw>64Q-ljz1Pk8~q$~2N>48NMSy}Sq;;a&lKwB7nsw-3pL3mXBB?q3*F1C zZ?n87&OR+*d2_kodGOi&CfB*Bi>-KyJp_J|F6JR@F!kO*eR9fOV5m}gXjgWNWnh~D zRF#F~hf0A0wSkz&JJaC)g*oB_Kui}KK%y}WQ)RwX&DVx&#n7#fOLipmCu|mzZo>^b zDvX{k2&ox`!6XHZlb_HjmI4s9mhXWcX|Ultv1W=0km^*|DR&z-z6Uz7q0h~)2 zT??xmHpnqkzI2?F3g&-b7h_-*){O*8D>hUk=S#gE#KmM-8C9xtED-M407$;zXd|=| zM%&|wS308(|McpcLNE`6N#&r_ma9&32Df23%WueK_}}$rCW??81e%~UHA%AGn&Jq7iB%`clk!`Z5UwdBq1)+QiL?^R#4qVBQ|3XrZ z6JPjjq`YQsLt?3qS^wMG0-VhK&Y@v`q^nR4({W|ewA1VAS0=-b&&AI+Kk?E?jGBSM z$}blE?zCwI_q#}*ZN!UxbDC4m)sYL7KrzgONZ?ubyH&EyGKL+TQlA_K1C+C`V1|5j zYm}0hF}G<}!qQ3xgH^Pgg5*Q9iz&wR!_WVTsLhX`!LoCv$+>iVw-K@~?_e-kqjA3s zX(ytVSq+IMDiv=frCm@?xNm4fk==>J>JMMi52vS4-W8-3>bv0hNhi3=-UO*8pf@jP zW0s6O(KhVNb(395!6JFxzh;wjq0w%Gyr@f}h6a@hG*zk7Zt7=b2O6sk%I(hkKQ zawYNz(+>RxJYF@V6;SA3sB{Qw;#+-?=s}Rr6-SNK!*5wTp06jOiVpgb0t+vvb8~ zD5+of2hO=y4*g>Pim-F5>azz2h^45e8r-NKc}pd`k}Pqjzj()yoz&A5BuijrxO#_^ zPy#Mc@RKCbxR*rV*Tj+@W_nMSqH}dC&uU!rsCK(6$Il{9tS8}G$#TRd!pH4=RFYgx zkOiv7C)CnXcjve}uGPKqs>a`rp*<5wPRRP`(78<)RUiX@lUQc>J!13msUKbn`b#A( zRc21-pmFDAi8+eBQt_sR;TP$%JrIj4SeDFumyl5U$KgijR%9O_Djn8XtOy-O=i;A4 z=UU!LdRC6?N9UsLY7-o*q!`wGYh96(yR8=6fr*3^a1pGcEk+eY>?OzuGh}p3HyhG} zM|ru8*rjfgzCA;h5Z%R>4JVtKfqns&B*yk!6bX?x(M_7 zbgnu^ppiv0_6WnESfiWRSYsV)W`Ti3tyXzQ@)J}Pv8RWiJ<+4mOVN`+<6)HGQ*V=9 z8&AlLORK=j+J|ropLn5|1d6~eqN&RiQ{*KILi$(Vtb~-LE4f#}T?EjNQ%6Kw2`8Vo zmVsNR(98r8Q3lVp5c#VhHDL!`zdM$KM`46!-5yl85GB1&iM=UL34E7e9Fj9$F<{7b zMniYY!@6)u7%>$fht{|l54;1&yupWw(&~pbh}LpqG;6olw_9{Gb)6D(Y{;m`W2z!s zpru*TJLjslIAKOmV7!G?&He1v3q%`D9v5oDc&R)1&*z8(=sme>o)zTK0*`3IF2%Gz zWcj0<9;&@85MP7gT<<;kf))a0R)Ba`A(Qm-I=C@bAq&QIOA7)neO;k!j=~q*9pT!ZPNnmM=3L|j!>EH5e zeI<2?2zw`;XUeP=1d(`pS^FYb&v)sCQD8%|%PTLVL|84Bwuv}*XgFY*(1G`vOTLM` zD`oNW$R44WuylrI1Tpsjj>TbRnGV^8E=e31cwMZ)Isa#QBk!RvolZ9J^%ku~DfD}0 zs5e*yQz^$MM(?F)A!@K=qeA^VfLGd+6@NH(_6!c$PYCGbj66>uv-*sPW*_`#a_X^( zCVj1&WNT9?)tfhaxrF;9nKAWrg2?8ftxyJY3X9f2(WX+$J`yky!Nd&Xya0fd-CU(N z5bIGAQrvEM?8e~Ut&kI+uLYLeweFU8#3!;nVw+yNw&hIl5 zQ=mBOXf8<$XQij(rcew}2^#m(kB3kdM<500U#lgn^QYvU^z1Isngi^>50q_1pmw%o zC?4Y|kHT+fnt~kpWx$61QG6~^hW|ZV(+<=&8y5<6HZ%R~fg8>jK%h7|5yTUuY z$KZ|t3cNXZBL);4$8xsVI%VW^im&GgW(5yk%c2^!)mXf87Wh`(bbp@B29I3=gJ+Jo zMkS*BCg>!Kb+*uVguMprY3?BGK<0Ku0>p>BM-=$aqG z;-= z#^tYOlL}}H%2Oy0yrAs$F^;qNYp@+9W!S*mc*dI`o+0H1J#QW4p0D=D={)I#LuiKjc)lU6gp)|SmoYq zBQMeB45tbPg3{*LrhXA@_f2>DPeJyt^OsG#IbeZW!)-+ukWK#RV>bTB1Tu|#?h zrusyt#T1j((Amqv$F68KS-{Y2z<1k|sTb4X6}R{he9Ul}5)f=~K>Mx(lV@66I)z^I7w3DyCiuG6vZvZsrWaoRE_$gPi<-IT+Exd&PjoHw;N%=z-(T*9_mOCg@(m+lbIoNqQO6mbRVZIJ*Q^>wjXpdcqh#Ft&$Z7fmrQ)W?SU;AWGY1Eh>- z=H{2LfIX3S9dFGN^`3|b&OD80oUx5c+QO^`7G#i7XJHjCGIy*mRcMTM@SHh;jupe0 zG9nSxLcyf$y1B{YsQ-Hy-w45?JUCyV5F+d~Nzd-%TQwyp0g=}tB2z)$q-chBR&yxz z#1WF>?gaTOs^!YQr5^m8sYZ#KY~D`I0)-n!5XAUpHwZqhWZJdNfxC^L8Exg9yHE47 z7q@Of)sm*RG0)d^Q>wPV*VtQ)To5co61Ibd|v6z)$ObkBwhW{-B|^eU>h9rYBg zo`d4b%OHIlmA#t9g}$yGy8*(+b&RW|?aZXy425M4MxW&TW*DO0GSb4}nl;gLxj3?92- zjRm8Sb__ZTi9$XW0nV=r5XE{)%%&^YLkpu}j@PPvjn?s|@9ued$m_U`q?drv5U6#u z;7;<@2g*Y!Ia$5*LC}4Jd1|aqZj5e0j$r4FQqZ(be^8x^%u zku`3PS8Y2LQf3bT(%wfH7!Nh^BT?ToKT}f_w+{CewCZDQQew2bL=ZE{kNMJKHAg`Z zplv92@c$2?KwiJ_RA#T6{R5s#Q?>6O@KmZT0rFKFEu_djmEfsN0`1S^ENvXo!nRu_ zXkjU{74q*$xZBE_B`>Se$ifwE3-Xajo=T#><#mLCbhF8m!kmsmsNl|NLGerM>K(S4lVTAX2(H*1A6X3&Pynh?G!p zTFma)R*9gEiIjp$Hf|tN;kx%u@xXPQbcl8>G=dP$)_61k_aUC;`%> zojgi2(xkh1l%i9nMBsZ>kRCA}C9fr}2S$n$neiw^r%XQSY6XQ$|3n@oG}Z|6^%=F& zT|7!`OOeb$(}FIPMtCKUlI?+w+veZCeA}OPsUT7o5V_&>-j$Jwd0OJDR9K037qB1G zA5n@Z)PGUyEX7nj77Rj6WH7BvCMk1I??h2+BU%cQ6N$~AM}577dbTHYHj6i;nat9?2?G&cbx=!I&znJ-;C))m*$x4rF0vW3_GarRwXYd`@ZWZ*_Xw=#{D8XU1)7 zHwT)QDbPsAyoDuMfbIzI zTA=exk#Ak?ogmPw2G&a&DyOMph{ZbfiyHc+H%7fF@{z%+2snoOAK&dXx!`=a1AI?^ zKG5E(Lt{B_K`$Le#3{&&1GqGD^Q^cT zx>+gAt(kJx-|Y*5d=QxW*e(68qAg|26@Fx5?*b%Xb#`Q8E`ekkiq{XkzW1}WIqNsx zm8Y|{-8ol!7g^ihxzeY#Y;R?k*ew@z`&j8%F6vqA61Q-*odllGQ$kN$T7qxEDq~MU znz12FDSkCY4cZ9=b89wrY424QR-%5Aw7c9wCE2dlDvcAmfMNh$0MM}noxslzN54>oD(cb-JHYK^(-)3bx5qtjU&DKo>XYieHCR8lq$maxgjzMEI>`A(#F#MN2eB z3N?a9O*9yql$8NHJB@(>&}0JGl^JZW-%$ZPFNdTUlq5O;B>@;Fr0vE4jjq7wkLZUr zd+~q<8Kaie1_|1|Vq1|(IG$9a*)(9j4M9z+g@A&8h3%xVmkBD+@(Be?(dp7^QI*h68ca)5io=uD3TUf6$|q6G?l{lLr0&mXXdecD zt}558;z0@^qg6IjCndF36(`WQtjiu$tt3ys+sYBKgqB3(_{lsnb7eW{ z8x#@+;#EA58vw8v(DuaO9L_f5;@HR-cOkPD{rRp0H874rIRjOSP$0|@g(?%p=P@(; zn85zIoG@wG-Qt;v>j_Cl(syw(7$lR7Ikq+c6;4wi_d#|V?tCT5VEnH@-NsAq3))u( zAGCye+*mc;N|TIUc4i_sgzuUqF>@mSm_fB=4+WFW>1kUQYO24o{e!%%8QNru(k99NaMbQN|Os!B4fRLJeW zdo{^WSt5ASwrL`}@km;qzr2dW3)p##2gL+K0sQAmp`PnvW+Cn#)A0gv- zhNC(?!@&o0i<*C=r-dd{e1gtNQL~Z<0oZ6bAR0g<4-_K_1*$2ptx>wtSiYLA9cT0@ zqe9L=8X_hQ{0;yFT^-!l5ng&e*Kt*lSOM$MVz}8;ElbXxxyfG;3O!dt!Wab(WS**M zk;A!$M5bc&ULxIuh6J*XG$d44ooh%WxMGk_+#MPccvMIMbjKPJHiKG@eVS-VIgRkQ zj?xOAy?4O@E>6c4psaOgsEsW)0|whm(SOAR{4yg)lR*>P znAB#a^g_n^l|U<$CH{mPoadS9^1r z^nu!PcI9%mmRJ{CCkk2*uU{`l&kNP2IuOvnm2FzZP=^8P6(GtwI%C@^S zW%kONM*oXQ1-XCuW>Ueo>v_ALx9jqi(l=(S{6t($lYbY-EIt z)c>;4^o&YTYCw_MNPh`nN12MfD>`#xtbtblkmA|l8mX@*iM;%lb?2GvRhHtu7fF{I zKR?iLGHI}@Ivkc#fipj2=(iwzpvb5o$85*|-8B{aEKz!dcwP}WVG>DNA1|8IvJ^;m zqT3Nb*9%EQ@B-MBtP)Wi4hSp<^;z%G+&X}SD17lP=`ZTT{uwm^Y8tnB#lxL3zF_JY zCXrO#82&tE$QiVjZ+(m7(Ox)qpRpZgv>nRo$k0k5S_GMCbz{P##ze5H*P0~`;Q*#G zuhZbs4b)k^SPx*%eL#cPfp=GF@cMAqRT{hiKB}7a2TF_1Y4D_(J)(d#a>6x@9CCnm ztNw5+rNQe~LXhG$RL4qkI#$>mP~Jtkm=r5+)*tPtnEv!k1;0)aenccC>cNF>O9p(M z?GI6VX+@1EY4D2C72K;dcnZP8-ov8SljIWfz& z_PUqwEd^K>-UZ$}02;0_bDU~^fUQ!@-&Ng3cx3)=Jd$_&{2hk^KcMQ^_%Q&Gj8Ig8 z(D3tPY9n91X$dduHZJS7IJqI3iHI#&FFD`&8b~`&HU_Z;ocu(bhBO`_&6h?B*Ps{gnatx~Phju~Ae7W*qnoYmmXk_W}g{Xj0)#pRHvV56q-gLLqp@f{P!N zPs+r|-d0e(5#^&yN-h0Vv9%O^{oNKlsA(}uD2bDR4;!VfF87f;ZYpzrr>r$?KSF0Yebf)Lx&M^*qsDZb(ygX#!N0;j}z^=$-Mz ztNpIn(d2+o(DHhStACp`Sgk~DS*kZrsAsL@1f-_U&zD6XTPFKRVWY*C=)2e2p$2Y9|UY6 zk`A0L)Y%l@~Ed%i{76%(V?@OSkNS7`xwY#_o&b7Nd3# z7dJ2iqaJNTWppCBSY_%&1=PA>-bq?eqCoX?I_ILfx&S+LNBmIwE@l1v03_5jE-R8x zlm<(*!xRK0yzh@Ra_oCMwF0>eDN@hYGeBdJ?Vzo#oB;>`)%>sUzP5`$ts{buvTAXW z2LLG59DJTpl4ny57h{#lgYWjy3$mme?`x2zG4JaYYWnL8xJK&_08-qTwS%Lvjdi0W zotmhWi%J=2c97+rpcyi_77h%_tH4WJ98KhSroB4ITS{MmDYvl(|0nN%?%u8mtC!bonrwC4!ZTg)v~RRWMIV zHlZZb_#3Y;nijQ;(V@fREUV!d<9U%jVZB}*ji_`8kH7s^}r>IL~Z zsEw(5E}m=Rv@R_>1V%xS8^XjoV92FL1W&dg7_R9G`w9Zw-%u~QUFGJ%O)^=!_|^i; zeVb%^J;_9PkF}yMQ6~z*w%o+JMD&BJbB~muuyWH%Q@L3?lAC;+uv6u$CN{Jci8svK z;fRJrR-^L$CQx97X65?)05Q?$2S9{C<8D9*uzv1Gm}G$IK=J(JOEX<=W`0KeHUksh zL1dLIKz~^X>r-UJ)@zQ8qLyN2)MfqW`R(bGCplB18z^QzGvNstcYBxJ))yn|0YU*&$Ph zEPGnzDH$TST%lJ8ca{FiiK%b-t3F)%+Y66+xc29u@F4)fg`n`%z4ljO?JuL+Uw(D% zPxE@;q?xt1_IDS>#%qgzHJyt%Csws@iI^x#hj8vo9cP~1V8FR`I^croDa~Z(0hbFf z;0i_uT;0`YuWc;giYT^nW^82l4iB0~b@2f*{dY<}_E9Aac?h~eclml%(PktYRrcCO zEQ1MraPp*^m4+#=jYa5ute?Rt z?oj7*sy0RxxJYeG)$bmwjj0MFu0=jp8#4yg##CvA1?Z$UmSJtoRZttNpf*-RmN7?w-BlaI1?oMuFoCpN=SCR7m0L=ehY9Li)6 z%6JgUcpS=j6t(2BQ3fr3W)-1~hoOuGp^OKiOvIrK_^1+0J5c2S9?Db@%6Pr>6ev+H zJrc_JUr_b)b~##DbM|-D?_KqKSN+~qzZ*SBJO=laNw(d^RkXAwM&9QFub1_34InYn-_hstE*^_tH?Wsb2W;C1F?P4w zjDe)BO*}2gBJ5dPO^v|>@_?KmhG#d6&U-Z$w4iQ{qzRf#zNY{t-+|UU?8E|&Bj$OG z!m#(vy(VgdyL#h0MTs2Ey;(Q1WJeRV7emx6vLka%RKubJY9MI*iAcDX6K z#+-~EU9SmLxbc*`mjDFZx?%zwRxvdkD36UsUx2m{=c=RKq6{P+3?6%)Qzg1^Wkfib z;n)Cgrk5)-DGDPtfLS5V&N)fRiwYoNx#Z5Z{{r-a+dbJ+{nOD4)$g3_0ceA7Loa|K zB{2EUrh=Y?UKo;OK7d{@yC-{8-~9kaR}Of{Wx$#5%I?V?g*G@qPy&7l0nA}HdO=Me zJ%(OT^HDdT7u0Ch6VMAGA5$M4d?k8;lagTTE~=8|SR^xNQsYi0sQcv696d;A4>SiP zzzD?U3b^PQHj*GGjrdm{tppO-bdh_-(b8@F)eIUyO%4gN3%7ZV`EYQt{o(yWPqMn zMidS-0G{ce3>i#9m@$}4$dt#*Gbs#12^QJiud?^e*e74*fepi-9bx=GgL&^Is}Q%U};uo0>`=@+_eVkvvbw$f0}%QQ$P z-E%wggY;&)FnVe11yMNROG4|#lnTYy*e0&jaGU+vQPk&p&OMcH!!aFNN?D9-yx<`dWl_u@(6be@><#*4u4HRkmaV4QRu3UGk6v2L#(vdLq%B!e4XR#T zRrixj%`EeFUVE9yyVQ?KzBp8tn~bE~8X%AT%kazp^S^zVzyAC3-~ak(Y2yDl*URi* z|Mhk~Z`bp7J^x(S^RNA`r!Ke7uDLlaBHp3D4#$)!L$3D#Z3~PPS4$aikd^A$(K;it z+77fqiP9%$g)JHejEwijl(n22YSun}`JdlU#Iuuk1T5moui$Ak9}~-*dWJC8;v>_`|IMuyt@N>PA(dhg|{h+ivk{pbIQp z(@E0B%?qi#@Xsb-+|0((Vb$@1vfEnJ1B4zn?~PNV(-8tx}jEfGzpBS0;+ak1zhLA#GVoP zATTL-hmbT6K|zmzDH#<#QP#roMuuG$l~(BP&XkdfeHRKa08<1yZp5b&MMT}?DBwPm zI8(LZXcx`^!~$s#sEq*Z7w7LLqdu67I$Vaot2QeJL)uI(=)TP23)%q!D>XT-Veg2TT`;PJI5E+UhFY7}xl-W( zy=r#OWR$?jRtk-@tiI1wZ7=PZLeMF))|-GTsk#(~`l{}Po-0IG`+_n4+zFCnu#Sp^n*eC z2JLz=D2}OoF68rrN}JP~M9_RAtqed@LE8m9jqJ5DrJT%P5=OK_;WD<%f2!^)a|>40 zgFriaUWQnfw5!3Mno>4IQ_E$M=Mqk<%ldLW1H{8$F1o(LmW6su7vwmxe@grM=chbQ zv-h)@wP>A7mr!R(FXHl+)Uy!qpAR3FCl_lik=j3{eI3yHl*eiI+mwixE6kS>`?xGw zcRzfd*t}O97%3`KvAWesTc#~Vv0kSrRd=Upx3=@pTZM-tKn#L$$yx7qS?$m{YY+!z z7cxPa8q9=%3kY*`tFF;+TdzZ_s!qlMc5KSA)#091L3*s$d3pa`vbXdRe=8mlOUfz2 z1DINxo1%VjrZE)JeAp|~0Ft0Gs{@Gscp-#>HfmCsssjZm(Fh_LRG&#kR~}UTg%`{K zG>{ddqSGS^%tL#b)!jqTVJk9!en7xEmX|1CCiF^?4OED3L!YED zL=Q^zm|o0aya4P{R^nps$0MwAVoIH5hyx}61MEcRQ;T& zymQ^8xMNn?O9qopK+Bm;t_TrmrnN;CpJP_0_2<~{5+Y0>nBJLfq+T~8RHIGuW>Wlp z>^_s}f|}HH!I&=b^4$`(Ur2R4TOxj1Nw2d(pkCJL9DWX{qVX9$MW;ze&I;|2pk9co z8y#@b=>RKb>sKFEVESu0wvTC9BURYcA!D?73 zkW&Xr?Du=7w7i_>5dI{vTb{wC@Jp1;pC;LOtB^VU?ynOvzhP-D31M;P*-97cF+HYl z1X#tJkxLn^?chmlWl~V)Eddw}ax=YU>mAjhq@}rtXprac8IJz32Ky!RoKS zOy7u-++k}_6X#S`+IyW+^r2ZSoM-eKSeA8N!bo zJs$S)I!N?cXYDX-^hY4c&9gol0f*l|sd4g0KriD93)I=F(n zs9_(FEhAJDyUC{L+vtLxql)O)vD9M$<)nf*8{mBKZ5|{ZqdB{cQyS~?Q_@%i()On- zn==lSnXu`76`UO|EjM(DmP-Dn zXsKtxMvLh@K@ebnur=2<@}Ts8gSJtyX!f7RRadl)I=YvZZ++JD`$P~Rfs4OK5P)1f zW!c{=2tY3WK0yErNdB>vx(aNiqZ6k66{?Ih0W+S|HtHYsO3In)9o?X9l&ZEtZKHnj zv>^YJ!{ii>HC|wqk9(S_?ziqg2pWN>>3(OY3vWIRNgc+W4)R!aqkx+>GiLm4E~SSLmqv`noclyNAOQIw2_pttyO zD3i(qp-gw4G?Xdjfl%hRRQz%|hUJ>9e^>n86~A}I?_Ke`UD5pXI@MarIaW!J@fO>p zLPkJkEMeb6ZKIrZB9${7C{#E{p>2e$T*U~f{!wcslgd`s7CyOD?0#({3M99FW^L<~ zS!vLXSbh|YRh9`u*^vWCZeP@_-=lZ*j4iLkpWNgMW{i{lRa;)4;hJuFVds|DwPdS? zJh3egA)(-w7v5Xmd&|4agOoEFi!~27xpv~8-d(OeT`pJt0bQ;d`^3(YAz$D@Pn3zM zX*8HElpcbFtr0|~O}csMbNm2dXrsjnxZq( zQJcPaBGAr^nu#=$`4$?^t*69fA_CwS4O*SD+j!+H5MHlN-J=;kMe)Q-2r_5Uwt7M% zX3$1r!U7Zh+#!*&(!d(%Bd}1im>pQqSG|m7@&4;r-P7x|b7mje2tso@s?%}6J&^9*JUEcQy3VR%k%}T>NyW}BFMZ9Aj2w>Jbay)QB-98kaUOhs5#S5l-BnZ zH6DU5@Ruu)VNl)gFuLEPbRxWda0I8!bRy~$h0exas@HiTXW@IWG3bhJ3??w9q&k2~ zC!)&RPaA_pK2h1+F;Vt`*xZ+aYp0L3_L(l!Y*z{BQ*yZqXz zEbpE-XUH|1lQ#BsO*ETR6qz#xm3Do_KzOwqUythbgL?Euvsso7G_lQ>YBo#X26p;> z(sZDh0ed#KpN^tvY(E9u!ftK2Re;5%PwttT&2Bkx{%Y()GktL*sF5w<=)Uj5 zK4ge}$WO&SIEa04cVHjDQL$BEAKZ{|X3i}0+p!PcmCm&G-twkfUinM2)diJFDdcD*%)t*doRA&Jb7mSd*JM@OUqb0xf=3du( zZQ`z7?{$5yl(dBSC93z@h|HPZ>$bm8z1OWqvqSH7tMPQb*N!cudasenrfzz#R3x?Q zy{Am*H@YQ;+nF`g8^i_-J^Q1h7T6BAJB3qT<_J2`W(`GrMSM@c%z%%*;wy2YxS?MlA0F&W%%WrXRiWua=rNvOFsg;6^i{U$e7AS!*k)HD~2zDa4?>h2dg{^Ls1&yoP7O4HFEW7*1kZ>8zC z()3SIn$GV0?3eY)m8QF$D@|v=v14$hX=(>b(>f8bCZ>Mk#ipzOz^>EPd~vC1`R!K6 z`orz%m@h1bpC8Ne@BFAEdppkQ7ZzV|d8GpX1!kv5a;^;y4DI|Ed^oz(4K(5xsGT0k z*GphjCwBG=|Nd`({pSwB?{jDs&tG2kwwIGgr4izH`zN=ji^t5X;CDL?vEM8BolkH0 za|FLNN(Bh!p@uU^AHh(;4T9exDxd}y{OsLYIvP!EAd5(z+_gojD-h+cG#8#nlCB>=AqM(_P=AQ(Lnhu$L? z4QAKICLtJIwMsKEVZmq_yIgOSOa-ICv=$JI29qSuRE%D7&AKkG^_8-Zl7!sK!8_o9 zMH=Db)e*{Y%40qaGUj#CeLe7(oZ7c;wo|LTgu7veMFzIPym|FtI<(GgtP-VA%cSj1 zyB@(pBtft!fOc^R_~+xD8HPbU2}8_1D9%m>K2F5?CWZ8MSYb1SDlt|rz+V+C&(%GbSaIOd=j9)FRF1x7xP=4eO#ko zgX;|d7L%$162U$J91~^5o*FhFnhDCpP$13PGrXB8>J~7WGcX;0X~`g8t1<&)n_}h0 zB*%05*$|U6s8DRAtDtkbF7lho{0t*6tE!bE>%7b#uog~K?Vm;{kU(JqVg(c&L}X5& z^aKi2Hlc!uO`{i9Pb3Yd?`6WYFJHP+bqoQNn*S_zQbi;sXl5s+W_BD3YQ=1ZWFCOPE%xzz=kI`#V;b*YtSWBrZAEb_gi+c|JpE~rM62ev_O-qZLL zb!s1~w%L4zS0E{2JUYskP$G+GFMWP!9p%;|#)9H;2482@ub_)(3BBJ~=Ar9WSfy~v z3RU3Q-MaV1%aDb;?4K93=ZnaribAs$Gr~GaieC-%QAKJI-|vgKBtm2l#jkqx=!@HN zK7j3-&E-qtDUG&c%{eB;E7LIAQs5N7PJ4Kt#%x0@Y`sSDi%9((A`+y)pr1nV8;UBm z4;p!>8uKG5eyvh|2RKk!^HO)@Ru57a4{{+1e{s*lFnJwpoyr-go}plnYScEUY;>E*A00wwxUr@qdpkSxSVXiZYe=a?iqPOk7KgK?9e zN*Da1wZF$t5jeF4#QRiY#RT;-bmTy&I3lnTqtG7%wN)g_PDM75u9%YnI7hlr)fEEj z$U~37^Pm1vth%B&gT6?0WqsL&>Ppa2B%5k1Dt+3KQBU$3mMYz)x{@9Eb;gHS^+;mO zU?h>Q9in0tb>L||h+ZrRbSNW%13rnUu3U3p1d0-Ox-TlToPutusl{+>J2abCrT%sh zi7HA>KqLtOM%)gYe6vv+9RQ~$LxE(V+?4mLIjJlha}BcJuPRiLAd&(=Bo2T`(nBDU z6uuusa;4gz-3AEe)&AVM)>*Zm+G(|)9_QX_e{tIwhD&wHg&&~HZV`&8LTh95trWG{ zoG@_V41QU4jY3=b0oAubor~rAZ9UV|Zhuo_MuQbeWlHC-2Qf{;jcPRqz33^eOMgQM zs|z*uZ@a2~qnWsBt)2%NOpchUt_6VuOL$Sc*~H;zPDw0 z5G(mhJ~_nmXu*TrdvloA^K`MXngY|vZ@|12 zjocfXo}tBCQuEbIRAest?9XR@oMOZzv62^1?i0T~X7W!K-J%`9#FWD*g!!&)Y`ds{4Zxg4|j&G?LX9xURA z1ZC2&1kYn()dSURR{+jk4fL~vAWweYuSxk{ym9M&13)73qCY6}G< ztL&Ak!1GkK3i^*fu7Dnz0{YkW1Oah{oHmn!GDXdxsVOMjsDZ32u8hD{doIp`tdV;B_p5W62Wmr#eE-2%|u$d(VWn2L8;BOU_p*=w*mwgqX z{b~)hy98yd)BV4zJwbIxZuKB_xqvlpOUK~Of{gcWd7%JAovxm+3E3)btPo7cgNl`< z??f=_+ak=Qv(;BuCLox;B}D2By#5{Q;VJdlh&sqQ?#c!FlU|Z@7M=ka#u`idj^5Q(9?O{;c z!=Sc@18tA!R7)Z{-MA>Q?NLzMql~`IS}WxRZI75AXnV{W7td;YSkNkH{(-kWoI!1m zg4!NMwGj4UM+#@7ROy&GuLK;8nl<89n$}UtaX! zRcoI0g$_=Ib<7eH}T-#*U&4F1rU@HaBx;Z%O=FnJM z8XLc2)~&xq-!bdf%}<+k>lMx?&AQQ&>wDJi_szOFIP2!#vu@9vb?Y1S)mb+e_RqSx zhi2WpTh>ZlXWd>y2GncotO`1PvOQ$RKqfo!TCy!Y?H`|T#M z!TSgY@3S7f_oE$4E}v(<_@@ls!`|oj&fORDTjuTo3u39Sn7j8U@da0FInBZ> zuI(}w^B9l=ys|9XExJ?JWozlaqXnIO(!E_`byPz{N=Z>}`=l^`?2+0@SJ}E5JeGCd zJsRJoR;ee01`NpbZYXGa7l0oT?>E`>==5%=8s^t!nNtBn)IdjtWdwbwlMuC|`AQRu- z(|C)$PFdf62Wr!$!s%T-soOV5hWoT|dbbBh1XF1B^lqqdde?isIqAu7gQGqAQPQLL z01Z~*%dHvGrxU%m)--}?efIar%4wDqaSfcTua0iqr&{f>ke4 z-=+Ya58z{Bj-#b?lq1DiW%5~{Gs*J0DamA-)-nL4CMfoS@g-JkZ&pwRp_L8#-71gR z_f%r)wWA)6QELmQXb|s(CLWk!7fpi@)CW~}ftvcwr;$-ZS*da-FtJ5Wo^UY}6YZQ7 zyw^7WwS9}nA*0$zT37C5Zi*dmYp-%q=Jlth|+G4 z2T0b~w&kku)>-SB5@BoaBrID)w`t8r!@i#`gz7`u;)vHw!OAlRgRxB7hbe+vFdtyy z;777>-gRo_^R8)XOREIPN{cag_O;u{WSoUrK(Qcoh&!?oIU^+7 z?bt-~fCqtc(RNb!zY{So9D)V)`D;V42G!@CuFnqzuw{K--UOf!{~}^sDUQi#@L(|n z$VWg7z_1&s_G?se;`RBA=ar%^(C3#F=q(t6^KyQTSr6BMW-7%^D>UBsq$1e88(1=(#o@t1qz+U+*(Ov;7|}vhV5E2uIv%EtGz@A< z7c|n90YZT%K<1O}WOT2n=C(-!?mYNs?RoI;r0*U&HFC3mGW$vD^YYbCb{uNI?Nusg zhit!FZ2~jP>{!Ag$PkphaEU$36JyqWex7?z1IcmFWi>=1XA-k@lTQ;bRjXs9O93&c- z*gA-**;u8OSk(vV@z$TF*rk4woe!a1O9w!;tELhF z{sg-K2+33u(#68QQT3>QMav%O61R+1KQbt)dy zY$J$q;m$Jk*uMs58_AI42ab*e8$ZfRz)3q%t-h+T&nH#nzUBvGc-Kas=Au(#AUrJj;zf zFGcHLe&b2hJFwFD{|*H+sTXu03){%__aMHUCHjro2+S2R^3in^f=(h(Ucz|3sx*tG z;et*)6#Hej$)T~BbVeDB{#R3Am!}jxbOji&4uBi1+a!^)N`ndVnx@OnfGVD*>j7^q z9_)~5YL%hKpAVFNGA?^=%}KX+D&R=0GP%8l&=8>d`5k52VPK#{)n+&>9nYRj=27^< zMStORzIRA&^HY~V#a^`0*FW#|Q#Ubo(mf3j8sYM9O=!xZ%9<;# zeqzG4Vr$>2uod%)qH>O^ZGX3vLNMv*(z$ih{|H#v_sIe;G>BSkrTDOFgTi({$* z4Jp%EogT9d>emG&;(YMJ$u{^w@JgyJfsc9zJ${nW7Te4s&T3DH##!1p;?Hik>dy!A z+Mgc-|6Y?OTu`-KS>g>w&r&KJz(MeV=Bz(jy^b&x1;iR%RXzCbA}FUuSUPoaIAqS_ z9}k=dH7kiZq?-8!yIfJv0FP?kCV%IbySpTi{x5IhWuZPidthV7I5TTh7>L-R-fhq* z5zIBI%A_tqOKiS@?Tvx#>04{!7K2v*(UTSZwu@++P5^RhkL7hS+ijUw5vR zT)O>}6*HQ7G3E%2)uID0-bY=-V)W%wV(AyV7`JK;yD^JBn`YlYv&^^^d6q0cuN4{k zWL#(kq5c%Paeds}=)e((i=)PMC!wa*J@%8L?@d4d_S$q)k7wE~p`l^FdmZH5?sA7h zw+BM8Q~lX>a5bqfb(72LyX|k=xm?JcN&Ck>@sfGBnp0m?al-8dptz!{phKh%(;>^A zcTY`!|6rgrB;9?uOni@%dZbMJ_Fr%R_4Z$H|26kt|GGg~FPs|z_T<0JrB;&e%mxAZ z&nLH52L=*K07gfUJc6J z=nCHGg5Ky_c0)Hhhc~(cHaY_~x`e)-j?w6i?)0lhQB2Ll8ok}HEr{Mw+fuwLMbYOt>Yn__0>?0+3%)|nQN!GF}pkY`Y zXcz*}lX&@k(w*Z}G^!^R4bnOQK|W5$hyXy;3`G72Lwopnd z{L;?j&j&((eR8?u>Oecz4e?}>iglulj3`?rHK9Tx9sn1^9zE5`v=JYPp{S((Q3r_j zD#nzc$)O44NlrbfQ@)+Yx+PfV{G>Kfjk~wsyJ%?J2C3-J=?fP%xEF9!eSQE==pNHB zek7nNsC6l?(9j}qm4MM;>x_oh%V9bp;~Qm42|3ezhJ${oYOkCs@P&xo8neen5B#2_ z=2$&o)ef`=Pz3-mOMobv62|VE0ff*4qERqofD5~#=rIj#(mVG7N1xbDWv8#oAQTOS z5rv?4QbI$UfWKqvu@bPvFi@oc1QyUVfa(RzVIe^a3hF8V|HYhj%o^nEf{tz~nsB8r zw3^o$h>~+YB%`r{b2v`AukPhb!?Z71ktginH;0eUn6zeiUqWi6w8Fn?(i+hhWf>iS zVOh3wkPE3!Ot_Gxcw{ifxOIh$~f!%?qHUn}T;1oe5OsNhL`51{A4PfP=OLl`a zkhG!|3DEdEBd8RmBH$v}gbUOz2=bM~z*p*HT@NJGB*-TxLv4j+DllkNOze{~6>`Z& zj(1Vk*(BLUsiH8@Bc_R_q-SI)sUbpRu2c9YDgQ-fzLMn1jf_UrNsV5r?bB#POA{08 z+|NCz34MgnOV~6u^$Y3N_7??zm!k5Uo>~Lz=LdD(>tFPMar+GiNHS=3!E9%pq?@9q zK)$Q?99sWqH^BtGVUak%iq%FoWtqBM<0gGtlQ5c181f0VHZ{fpRF7C78BlEqPrfOC zalmwnaEGS>0<26iDryy;g31toVbur0@$fIxOwFg!3xO{h+#WDnfbTb$Lz&F;N{%Ph z4WT4YgUY2dI=M6`K|om?EkIQ?un1<%P!I(6WA*^a2dgF}K)(#a5s)W?TXyrC8jtz( zrq(5`Nk?mHE%EL*br12{m{Jjy#AOGO4YvUy7(=A)Y1>g7DW4wAPqdM<Y}>YN+sVYXZEIrN$rIbQZQGg{6FYPB ze)qe#s;f_T?>@E9uhU(7uf5i+oU{;z-))d)*$rk54yv(ZqI>~%8OQag19G+c&`+0^uAKzZ zCtk1ZH&61j8d{Ior-nc3Py%+RgH&nO6Jjm@R|l+e_2Ho^KTrL1P!mvQx6 zT}4SD_0vMr*XpsJB-*fkOzZzWWs`>aoSa^2u|JXvo}d5!2|s>VGAh3lnW63=BfoR` z{NS+LVPJ84!ri>^)V0UCT ze~<0Hv4CsDWjjrl`sTt>>Xdtitn>4AXG5k6242zSiQhFgWiIYIRuoC~zm0_}>zU=f zo)?h71v0GqnxN-%z=yNyzaV(VH9}*TP?mT_5a&~OTrl>{i}~h4e0V>zbSYZwAfr@$ zGHKR4z;}(ofj)$Qcf|C&)|^Q)FJA1;tMun~$KuRy}VuIGFoh3+E7J z8bO(d;Fvq7py}i7K!s1=uwsu~fTEL^pI3uOc%ExgXC2WKlNa{`YlHrRJoVqxE;(nRa=?p(v>RrgsJ(QllQ9tr=jM$aGuQ)MK9uBPLiO(Iy z>|UhkL;uhzmz_eN2z%!m`a|+7vSj5#!Zr7vd;Lt}<)0d_C#Y{ATlc!oyo~*S815zJ zL|fUaR4c)F#U35Jb2<6v_#gS$g8WeU6$Otk&%H!m(SIC;%SPCO^UvWQ9KoJ><&fmZ=2b73xR#xK}QO7;W1o_XAw zR=;(Jz3a6uv<*X>`k97Aj9#102B+={X!6IidzR6Y5}fLk|wE=8h>0S|7`KvH+~02R-g$&bbw+uZ+?TIY-vHN6IZ6biYtyd@=i;>$lOYm zirU!b5Yq=ZD;EzHOhGP5MdeJP<}BwrWuv)^D>rhw_9b`PM!I(Z$my}Kyr8!wn@XL~ zQ#7kjNmH(DEn5^kmg`eB0??@mn-*_~NBW3pFT=7U?-X*hT+O`dPwnrA+P<-4|G-^T zsBxz8ThsBv16k|AuLPvWnxP7tYy^!qj>MV;!d!qmES60pa-<<=*#z^!xX-}1{{A5O z1t_zm^I)~1)7cTbnO9VvjNaSUY^`s&dNR34Kw7kos}EUgFpy?w06l}N9Ccp((`o27 z(p==ISvRPO+10J%^mPB}_=i`A;+cux^L)bH zVHGSB|1vPwE1q_JT`8r4LL5f4!=x(Pl>jOczJhJa5I7qopN%qvl~_)$*i`bJtx`xR z5hMDMSIE8O@Y3Vj(FRF{rl{To1;u#2&&h$yns9Cc_?xvElZjAB=UyyI)#C zTbREY=nx)UsU3CRF;SdiGDCp|{@MtIz)YxSyFv7^OU*wWBt9lg8B@qZ@sOgQQr^ev zSL?s5NP5+K;mg`=tP!S;H#PTu;l5aU=X+rx#TX>;zzQ$x!)SReVN2kjTnKY4ZX0+n zQ4|YK237l?0-R?Ez-MhIrOO0YAY^&f z1=fh03?eBWNLNJtb1+p|-D?GFk#a{qaM1iKHh?RXk&iMRqGsvDnHYN{j4uWBUV>r1 zD9J65Hq4tu5lo0jo%H!KSgmu?L%M;XeeJ`ffKX`PmaZb9af%i9Drc`IN8$kt3ttVp z5~Oxl+563g?5`=nBxaRJ#uowL<4I529Z>ab&yf9WT%0PdJdD<>bMdr$5ENj*toa@; z4%!4)nZ@%f7R7&rNJ!+E6~Omfu>TT)2HLwL?WK}m#1$l~iLG7I;u)bofyv%-EoI4r z>v*cVwfcA8+@CSNnAQMD$0I5xz}U(cc+i+>>*9}t`jzm7U`)KA@4uU~V4$O=XQU2Z z1O@G_MPiDnvlw58!fDu>DUw18#u9DE*(sH*96R5CEp!fz-{qJ?5rn7EBe5y&Re{!J zmNE^zVH7#jUOqmo`Beqmdp1Nv6oIkfwJB$jF-6i=;g0s)9h#a^Bz3uEo6wFmcH?vW zi}5zWIpnNEY)q+4ZmX_}5D?w3oU6=~h_YXwB){b?Mo&$?!C{*(0UYEHBwq*z>lbqD zb|p&$z)`|&Qjf!KIS&4?yP*pkRE70=0~pMp{9A3;2=NqB_Z?ac%ny2O+_jI4dw>v9vwp8>xM84AeHwBgz zRTeN5WP=rw3v36r1enf{1p4bl$9R2D|TDmE37!({$FX&;%QwNupGiV*AM@2MgqNnc!%%#C%? zj6H8t6E{Rym|zZh=T?|P8m6kck}^aH1=j>lEj^fCOGlr6z2O&JzRXD6lu)BRHeY zNqCJ@wVWcaPbMT&Uc*%kSP5Mmp&sG)3(6l;p>(i+EJ~_j-29#Y`-#~n;)d1w#ER!x zJre__55uF^&3y}l7m8A%&alwv*44~ExKOKcE+L-1aA=(GoUsH;_5CA5puDkBP;k`x zTIl*kiGSlVK`I+hM(|P^MAaRY`s9C0r_JWQBNt>{lskVv75EHw;>A;*jONVlB)S^+ zAt0`DaC6FMVx6-FN+{_R)IZM-;{3uCFmI_Te}eS3sSZ|T{Zox%D3@M-Pr0KKVynn| zh5}1PmfR~)?i&0F#u1|wBzbpV!!vL5rVOULEuxazq~NYhDv~;7-s7ajNIfY%aDEl~ zg>lEvtdn_cz@oFD6}0LCS$Qk!<*9TuI?Yv;`q4p=iV#!Hi7-A{o=S6lMgqc#!&)j) zIK^uC2_B`2_Am45Ek6X|Cm85^B%Ie--M-Jz6uJj6DMy$C23vr{vnLcX{Pv71P=;K6 z>f;}apc*4Gs~~fS9~@WZk7Dkcf)(9^3bWErF`0($3Gj^jouESG=6Q<6d4kolg#qaj zCwm_(jQd1H(@h-n9`XhVQRDx%)_ub-pws$Ea|w`cEYqh`{jw=$PVQI!w?URI-J%>@ z-M3_<2;nL%ZZd^rVg~Hz646`Ip`Qp)$USiTC)|fN;D8{-A({cW7YsCALhrGo*r*hD zhDA-1Z$U*ATK}n0HE)^i@K-E_j)i6r5rnmjvM|gZOY3oi#nP6jZ z5K9xR!$-_qZ}C zzARWb`3)tZN`{^QwBu%=rx)Z{dm0h4<3_mo`$4EyYVh=&XzfZBmzEdIdFEz?NW-k~ zCF*Jl=h>TbTrD{PL8x|@ANx2fhF`+KhM_Ksx|H4cR>BG-j#`LJR$fhzq0+)S>Lw)( zz&ye>ozEQFxQnC`C}x9WZo2Q!c73eJEKXI#QV~I&k{X6RK&2u_Mc^ePXS6h%G1#>> zibmvZXz$NK{-7yWFxfM`qaRIOn$^x$3X~AfZv+8beZnjrifE7H+Z`%ZCuAt7w#4Zc^S(kqDD}g<~Q(`Qk@iJkaSK-=d}|n(9bR%Y&X71dT=i+T@4ru z8rbP>Pfzs1pD;Z85*zfc*Yv20UP42;jvD7&r9&QVZ`d;y&tm$hWIO3iNR-br{eb+| z^%?K44}C+vy2lmr<|NbSgpkby_d1$4xBLv@ZApWB1rDWBa56`tLDj(Y)DWyxGCm1kdy z+>amo-XjTq{-%_dAzwG+3aeWaySZzPCzse_)Hm7ZFAstO-(NopsdFk3C_fd8KXlDd zuZjotQxpt@28D*Luj5k*7$2h+!ibYs#|I5ZXx=Ed={M+%J7?T6xm%rB!$1D*|J7;1l_3Pl=4_zRHguDn^T`F zjuMAaWQ$)$S94=2%fQRyszM+}G}9ris=&n=olrABs`4)`p$vg60rz2!P&i?+k0Puf zdr1#vkA9n;jL*YeH7?z+112%2u_r6*s7f@EB%EefB|z6cn9s!-Wrm8S_?9vJ(B_EG z!`LDGzyL0#NVz{SH?EA&WSPA6l%RG-YF6*=LG0p(f5UZZFP|bMB{Z)Fn$hqJrIM5Yv4-g|ME76kzv%j!fS&CRHl5Uq z7J1D|)%qBSa{ai{V#+`nv`aLaMY;zh@e_C(37i{=Bz0nPV^yLL%tr#rA9~4>XFI2s z+88rv0fW8d(ePP9J-@*qzuZ#6Br_2c#wB_lgOnsV;Cd6mBwOj2pl1p0h6v|=qbfLj zH12GsTlBstI|eTt0E4&XRy;_@OTU3h7WxJ?Bioy!qiq}Za%33Eb%qCRrD6lt)!IA= z!975Rt)8v0jrlk^Vptgs95YbC152?LVFs}XfP@l93^l0LoHr#a4(G>lN31Un=(E&D zz^K)XLCEnfMkU;DEt}7l+nxFfg^abG?|@BHfgMS~eJ_Iv3Q65^{qK-T2yQFC=Fy-P*TlYk1Ib>o)(ZDJUi97ZPCzd02^45>Xj>s!Jjx=MVWn}dvWmD=Vfm+&@Mue`rXmCI zhH>5}LJi?dP&9ZxCwxv+4_?N@c)z9*b12n^zd`pn&(4AFv$yc1Hp~MQcvs($kH;(m zpw@^pC?T2zc~2wr_&z{=2kNrEq|HyS<~)aA!@P_Up=uBK?p&WKGxj(Yb0%vy2#q)S z6+f3O0{-&d^==TdDNeiME!H#%`at+eGzr$_QSS18u;N3)oUCpTUe15c{+LR;ZJ#Y( z`0jEK4i@N36xq*q-Z$4WQO<`fAiLM7BXohL91u)hcaHK(m zAhlh@SNk<<7ndh}qVPx0*dh-3hmhKqIl3@CkV^mmjToBAeUZuoLx0LYlYy>+!O&2 zuYv=YK`(a7a(J{SR77*E=q`8>bBIKbz2eMeSZYeSOy4nRE<9Z~pi$g`NU$y91SU=D zb_dyLE=u|E0~Lr(S~{Ezr`u&2CQEoeZ01c6Ob5u>PgorcAofDV)loidZk>P`9AF^( zqN#YrtBukKZ(QU;(L#x!Ww?EOj6q(ssAOTTMB_M6p@Y22jH5d30^_(fB4BV>{T?d_ z6Qy51n#CC|fvIWI2PYL+!O9=|n%fY}dDNLp_ab6~Gh{A8SP1v9KJ&iT8VhOvS>+P#hEqkoB6pnQrWJ7K`tMPqkN=)kkJgMvQ2R1nGdrpy-ZVB zmM6Zy((W=CPI;%=Q=?fPCji|GihPQ!lB2fGfCC|&+}4R0IG8k@19t5Y%>r|U#pLHY98?% zqpDEzxF5{)r|Jxz3WW)Fo7D+b_&}?C-sAH--wa;X>u|A)+td4Gi^-sEDb7x)h4o1Y z6D%43dME_yPTk717Tz^Q`X_2rT!%7c=fT_*&-U=^aWyDC24n_01c+>TW_V+qlKv`w zC+oG8yAy%V;s@7s3&~AVWru8Kn9Xqjo=4=`HaUiKy623ZvRl3;vj;Sh>N-qeOc$B) z4a&b0hBxkQa%`9MS9~(?>1Vl{B-0&==Z_k$wcCUCfFs@8qxOFVTx;o0+k&vC@37oA z{{*-+ge?C-%|0Q=eNZ0ht_XZBIo8e{v?B@+X#A_-TI-^|=@a3wm=LqJ4UDLRrhb;a zGs9O_{b^Xrsw=4F`r&8-AB=PVR0$}}M>11)cbIP}LXMl7yI9GaduZ~^Z>dNwW1)DJkj zOqbPTr9Bo7n_Magwq&IH;(Y40$Gz40(I1IAFEe^vFj zecLT~TfNW@ZDhdX@{)So*y7Z+r!kbYK+~pufbnvLl!ySOB&BedhvPv%?*r>yR zw&3q!Yrq%^E!*y9RlRn}!4uGEpG^f6nesr9Y@&;>0ON+&dxq-ed7MA3K!C!lP(XR- z-_d*j2W75oZ*JgWxstnf@AnjoCZ<3`fU{3QA%iV8n-6L^ls)PJ5DdC}j|jnu>zJ)Z zxl|jC>ae@R&^_J#m)E}A=CIT<9NGa^i!(RW?dT|~pXTZhcm-JsSZe|qD_F}P<1Lmm z*6w_S^V_JE930FLo=Hn^;}CkkJ1Lf;(Z9G_G7j>pxi!v=-4zr$}|V0zK4a^Jg` zN_W=;D_29=!b*HU4nuD`i)Uzc)90y|Z$R3P>5($`dpzf+=51-4?-IO^#x&c@(;Ys%J=?4GAO@1{-8QM?hzkVfz<*a}q^V6^MjF(l4)h=QF8lAYS* zsiNr9zCr~Wu3s-((v0)=%W#6qfb5=E1fB++X2m5b$(7y(+bl3;7qtLPjuvuWa!WL(u=!eUI6o62R2RnUU(Vk zl_yae4*bBr1ChaL1e2k$>o$DS1c{G$Sb*GFWBq!HALvEibw?iflTPh|$RI2_s-VvN z0Dh?D!)3|~dN**gMeG&L{e1Q1VcYfQ7`P{gtF%H+v@Q(T=$f-wT#x#+(|>f9=fmQ<)qc&|0e%I zrb+w6(rS`!lD4^0oZLqdyq` zAmRhrM{sdvgtYi4B0C*}jj<;8vyCT(ln{*KajGAN0o>s6_E%!-4p+<06f8jb2-df| zBB|MXJEsBOnqcv-_^%{gQwy_pN#&#PJ!M-Y6$`)nJJA^@$RSqY#@fnhN zSxyu~0apn7(NgM#+mX1x9iEeH>x0R55}%DQ60dwD91>0A{!xs=nBU^dEraoya(~^@ zFrME;%$yy5v?{p*Pl+RVKyYLMXhbyR@caZHnslKtricJK5NC%*+zI4t>kg6R!{bIP ztuxzPj-9A88^TXKr!it~DXzzv%^<^lc{6;R1qs+=-FRq366MvV8aM>9-TsP80JFOhn6WrL= zq|NvVpuQp@&$g^(6#52F9HgA1)5TRH!i;0rHE@YIOH3bfmD+--GX0dUOpfl*#VsEn zXB1FbtHDRCP^f}mDa7pScC}S)dKqJk(NV!QL>}rom^l@5X_%FiVqA>Gbn5$1;a2>t zj-|vdlR@L2^UD9K(b8s$Hc2U84LeUEZV*Oy2XpYlVQ#(~t553l`?(F7NLb{SuseO6 z$w39AFJzwQOs6hnu7#uRvGTCOP=8}C90Uf}>1h)4X2Sl{DOgKODw*BqiG(>08@&~UdLIRliN=xF#Gq4@ld;p3xe>rJ5QVyMzsPMG%YCD9JTc@y<$OeeqQ?e>l-hDLhdq)v zp8i$_qd#1@)-{2=Ge1B1v(mI%p>ID#-FiX9!)<^R+85ek>I!Ip)j{I1^;cjOYea_9 zArP&13}%zI`&rc3Q2ja@WQzvQ0a_aeB`XIbS8XN+u11o(&M&sb(`7jY z8#PM+&M=OzGd=Y$DS$+}#R7#o(fq@j*7FRlekh~p#KY+$-rr$xTGJ52A1s=qW1KnY zM8XLfUvQyBtNNrZ{?;lrMV{JxKT@5kqwSaJP9P24=qIO>q}C@TlS5xKFRo~HU|{RP zX~FK1SZ!YnN-M6Yn4N&OKyyo`Ck=e|+z73YFDfIB-*5~R2Da}_w@=$AVhbrpoPRr+ zl5kCke#5W!6SH(f?V2+UuIfBB6rTIdp-`oQziKWc#2v-K(P)p>22;TjsV|v87F%pS zFW)|~O_6<0-B~!hnQHZd)tvdlmV#|VgU``Gb0UvSpMkbA3FBs52&<-5P|@eWe!fTU z0Lt{V)<*HD+=fV_0NKAcal<-*2HdJ{hEfhjBmynhOmkdltrsYPngVMh@x@#bKS4f8 zmP~i9H9`A_v!cU)!ne|a(ZgqC(yODj%_=DkO=!G)jM25`EMA_p6DpJrghG8Hj1Ujexn73Dt6e-iWsomGUIo3mU#(;0!<4mSQDymLX$Xv$N{KdJz*hY%Wlb#PAz;8^i2>j;q{~9_c5h- z%axb0^d-(XB_V*f)QcKhGx5x5;6qQW7X?xq3633g!GD|4Z$^zy+Bxbn&f>NrJm)dtg>2hwr2+~yvfnr$V%|8N4$pn7z_P1`dDf%|1O}?crl8`sDrvJ zraWGL`jkr`vo6vj}431g0+N$X; z5+y3;95s>o(irc}P2Cgp5We(c5wgP_)PAX1f%*QKNh4qrAum0;i|VbXcxnhDgY6u6 z3cOz_yK=>(&_Rh7LK!jRPwH%F3+q)3(YO>1G7gWht!sOpD#sS2+d>X!SB&wTpLrK` zdJ$(j!NCzZj-z)cKMyvRIhr#^tdc^$Ok`DzVFVrpHZ;FBa>bDXwqUuE1SaE7*g+L+u^>i(i>MM5hF(-1Jiq!3=0Z@r z?dRV-KNsNM$?DJDVD3P_O4zT-qL>~9e7@*851-ofheWs$Dj9;R?jPt&ESUs?r!|5< zG^gg2pN_WH_oD@H^QoPcueG;?gm(=-Q?`=o*9K^=HeVoTCjXvrJa1V6E_Sm5{^5Kf ztRV{nnNRk_nSi;Hw=~;T6tsc`v6D@6x*t$T+{5b@8O6H^OWG7`75z={98*B@xqNNY`IQIpVEhybR%m2rg2e3A zy(Jx|E+50VEoIti7pN2Y#4D$KEGhZm{zoA>Gcz9t;Cnmu@!a6KxN0b_wP943eU+s!N& zwfo@CkMFc^Ni>;K3{cG>z`8+ib>}-(b(Qx@)8wLVG(@>5c94!YZJA)trUQxeKm%qB zQe?Ov=!>L;L=MznhOD=2Y67njKR$X!aGLjtp&E_SsbdI8vKN-zZo(}E66dvH^U?uE121gkJ8=F){k&;0XqNpriydb~?1ZPa#S*jo})X3URGd+>@91|AAZ0Ne~R!$wS=3N~9wpR=IQUc_uC z#QI|2c6*?bBE|Hd+2FCQ`^?Yqr5w5i0;U?;ObxYiB)b}Q`A~i_v!*l)Q6DWlxYf*1z%Ig1>p&V%XI(C38a@lzKQ7HVm8@uq1pwg8(8 zh9B@*4sNEA?OET1=B8^QBJFc@pp&Bnhlpk-o$OXLCR+k^Uh=CL-EZ7h;&B4gx*m0K6JW4d$W2A6RH zY(;wEsb9~n{t=0`d*F`b>iF~4mDX5e=I>BJqSOSC2Bq1%SE#ym7VUyO zZ#}VmZ#z$03MexI{x~0|*f(nZ$do4P&>S+;N}&hUy;17<9B&k8v+Zg{2_$zqcHZJd zH}CtC7d@jJ*UlG7&pKDLo!lyS_xSWI!>G8fr{3R)v8vWe7mC;F*2FMowvn=&7Q3gf zXt4$2v_+iWr@M)9(^{)IaNlZASm%M5vlQZY81UVO_^=jW@cm&x7z=+IkVC8fT=!F= zQPZ~fKV9dL!`CH*_pJ)!X^s#hBL-KLvB0 zDLZIa>WspGrR}nA#o>Mb)%vn_OL;VP<;1%lJ++E^OEJ-#1PhdVYBE`EzwRVMd8-D~ znJLi9Rw@E#!q4sYO6>1yzq@+^C|I{wCtd!IM6e+ zlo0TJEPM0>m;;lwI%i-fa7DSLHs^%Iq@D`KjNrYyHs@>byZv=Odnh^?nGnmQB5q$r zdf*rXZ*E0;EZbh&(N0MjOHwRkKt+XyG$QIGk`B+lL5Bz#_z5NxXab2<=tE`1D6}CB z@FgaL*wBqLi**@15DhGkLw_-`+GCaWTFhb)R=*etF-X?3df!j!=+cYS(%t>_eC59Q ztnv9;Zm&;%Jq#$Gy1KmZju%l02_TT@5*T&Iuy!OE8}BcHzKVnx3{ODqEYPIoGZ1wN z(_P*QD>Fv{^2-JtMpg%egJ8LkNfOok9B?8Y!dpzPDtNInsViJYVAlPZW;kEE+mQV` zaMSMR7^LUcKO#~;;A-4A1aFb7E{8*^Gd-d0@=Z4&dW0F0{BsbZn!wfi<$uHcNxsA0 ze{K4AwO5$@nb#=3&Sy3_*Wx9IfTFk2wwse#T36+xUsBl9W#R5lkLcW*{JXaVJ9Y?) z^scYChW&9ddu=l6mmE6r-Nv?fHU?&6JX{;e1E%Q#woGt5XQo93t-_Q#@U?|$?Qk8r zs}t&hSD>RLd-D?#6Pxe=+Yl*p_!~<1MxQnB)rnF_Gms3eL^P#bk76cn4IutNE63dl zhPn&Wxv{bvTT>rM+zl$1_JYJ%O(F+NQ_^$!`SG+;N>{50=Lq&cSkYS8d4zw{JkiiY zqFk#%6L^B}&e4#>>PwBrCFI&op+Fwjk7dmIIE&v&<}RQ6@B-ngMuNhOKb>{vcPmiYRDz%dI|8fV)PdZ3k$(*V-tgYHvFNn5 zL~@vYy>!X;i|yb+I$jep@gMX1Sc~d#rF83j2uqdy`#eqZL+pHd57KQAAYiO8=4`c^ z?#UNSGpiP&%dwGx(por#vSIJKAI)sQM10)8)1E{1Jut_=lJMs8{*#ibvj~*KZB_kd z_DmkAeEqa6QloT?-fJD`%v4uhJ*@E?nhb%zTo}{IPCc|$S3xXUPxsN564>lq|3)h*{M!ke$; zD^l{QcINcDZT(8;>`2o7y@wgw1&A}`xnjUm*#(k47H|#Oaps4A$Bbv!2U01C$1lFd z<6*n-4M8}QwF_}%u9bE40U&Cf(`Zr0qof@i!Q=|Rqn%~H~Kj*FehePKKHmZYgLT&gBw>oE2M;U=U zz~9m(hcf(NQ@X}-v}ueewAULOza}7(wrSD=Z}z)jCs!&g6$$Ru^}%F5o{SA~n{e;q zBQoAnajS*-^oCnOwv=kE!Cu%7qT^P0TG2MXg&U?ZhcP=}Ld^r{rr{%l*Sf zGg{SWV*wofnZnptm{=w&lZXxI`Rswk{j5si3+v@miI-h7EavX8n>`N8P{}d z_3@#ZtSKrlA_{f$e-SlAZ{{3oVq!Y4*JfI(O5#nf8(DK}DU3?pxMh9o&paJJ9pC~8 zHBpIx503b?h;$JwSt z3$!Q}TwC&++=j<#E0oOAL+$_ORRo_k9+D$xb)tI^%Tz6n_zqt);lN>1R8PW$x8vT^ zU?E6&laH&cp4xW0Dg9jy$`-wuye`aK)!d;bl+_gAoo+rum{`aY8+|Yf_j3CkQ$FvNvom7mPm^h@bKNc$W!UI~lcvJve49i|nX{ zLNK2_7>6>_9fL&6owQ6r<<>coj+fMgS1!71!joHyMIQkIn-PN>4<~WRvq&FV*5Gr~ z|Jm`Q@pWypP;>b~4>~n^G6Lk0V!dUO(n>r5+nh)c z#I^I7Qzo1|aI39~l)!~zjC+wdQUR;afGs+rSzDYa+$_sxQep-<{_z@7AcD{Fc${w@ zy~J>hof-Hj6X2Z_|194KP{5a$HJLS$IN->de(=*mG$`co)DLkXRO44cd1}?ce~0yU zkMpq9)bClZmpeg-Jbl8B>Rbdn6;rzqr^s0KWaMxKC9~p^qPi~ z9Bl=Jq3E&8gE;uxoK1vBKQl9s=?ijrH#mbwFEx5s??N(}61&SsB;T^iz(w|&n;uc2 z352+;nccr2O|D>4Nyt!NQH;AORg=rG!y(}BY}g$sGLZJ_XvRa|$Va2HlFUo4Cayb5(PH8Q9qgIBeJjh zo8lFc!#>d(x7WWSN%U8f2kyq6FeE)FL8O;3Ahn(iog8HtTDiCwrZ6D6t@;lQHIS=J zOsx`kAtY3d)DoswHlaveaI2979!C%4Sj@GU59DkNGabPh)Htl`)z{_Z7UNm9X%#9F zk9l$ElAE1llPV$7wH!zgH4koys_%B?U$iw(1xjAD*DW)u1-jswXxCOS*596225f@} zy0*ggln@6oB35Ns`q^oiGnn8c*i|GP;b99q;fdDSZS2Q@brr232sj`hyUd@?;P*R) zNa88#ipQtIdN2&>$Z%$cK_y|`+1ytt;3?!}!WxnvQ=7t;n!%4In!s=K#)Ju+c&%FB zLCw82RrYvZN^YvoJuH2wV){RujkSL9)e=-g5oj*othv+~4$i5|@znK3y;rf)6}8to z`CICPTZC39Xk_hMiUJHwgS;%0WPJ?5y6Ri(kiZCD#vw8eo*#7gfEsSO!J8mR=^nu1 zOku^c)A%AS?S83ApdR;-1|jxVXte@|6`t5*Q3#H;4pf`e`I;nrzR1`0E>suE19&<_ zQ9>k232czJ%=NOekT7{JO;_IBtF%16?TRpGc$({GaW+0|Ptbq~u*txmUrXKgik^nw z#D@zh^=t)r_lF`9!DgzvQ4SZVoQqDR<7I5Olv2QA3mF)S(w2~@@9R|)ml^aBnH zb!Hp2UzmTIp7s)&Ea!yaR+8Lt*5op8odYFlgJ0}-{n)jo3B7IJ7rTfC2fmo2cA{k=*zN|9tl zjYi6WT%00P)eY)|v8DrYIlwvOO||I#*R>LKyQ)fxp!rMbcywRAht!BKpF?yDadG8r zwKOivFR6>!2+$XM)6y_`%k`2P3QaC>6{O|2ixDB?y9q2wzIz>X1*i8h0x^~#%G94l zN1iLR3rk%A63FKVv9rl3+BU0gB)=@1f48VCR2HBW5ZCEO6=shgRidL|alYE4rS0mP z*4rJ&?|V@lNv2}J;B!_kep<@Qn{|X@1gDe=I}pVq)_6nW{QxL&`k>I5+b)|>3YpW$ z%2x^*BH*GLG{Z%Us^0>I6z97Bz3$VXWfWcyKT3RjH2I%#(@5mVHi#;a6#p8x<){%7 zB16fBBE_h5je>N&sYCOagL$salxpqr{`c>qR0v*GPWQInR+EUh$JPRgAr8FCsihll zH}>|j%7v?p^2@uf7hpL3_2J_S^Nwf+r?jnOG4p~sq-(6R@Ng-yd*x_nKfc-UP8veB zKb!RuDW+gr8ghfU(}TnANDra8>4q^dhxfeOw96uCCaMVOM{k-_Njj*)O|nMa34L@3 zm98;IHBGe_ml4+_+epg2(A(l9AxOsfV^{ODwW{TzK1ycYTkAilGDJ9+J_p8xO=V``z0ruE?a7q3 z9E7_YM6n%2v~JR z`(VrK>q*_JCA4E!Kh~Ba%Z1MVR*iCfp+SBOM>-2xFNnLc7N(D_QhV~CI%+~X^dQkD zGXU-_mL&H%t9b+fxM76ZFxPS+9NavIrPHOOlSR4tl-cnJHXJ=YD^MtcwNN9e!sB96 zX-6k5iB1SCYc6>uBqccTuooOnJ%n#O2l$b@fLpCYP<2`cBNu>lntd@g{%RFl zztJ_0xLl?AkZ9jTT6R#v-4|0P)jKK{TXK`Y$&|&x-w0R;9TNcr0}!(4Phk zj6bPrrjqYO2Ckb$?4aUy33uIhZo*a@|D44GzsDIjfgsaGw;?3cL7A)M9UZw}`5pg? z9@{y>jlbD<;2jb~_($Zx--=xM5J}{+U*eyTart<@BisPX*WQlm3t{U+z5pS=x~0(9 zM0&bZ6Q6Ei=a%U`Cr>0BMgcs&UO1un-e{n~OmUX12v{!Jg2MgxSq#WTnz0dq8&XVP zWwT?4Az0Zy0aJmgW1LBePondpHW!rFDcS{?t=8xEARIad_rQx7>%!{?&jW|(8wd*tRndG zL&I}=*nzp#+pQNn?I_=sz)vU&%aU6e{GayT11^eYSrlIcL6IOxMuLFkoIzm8Npj9g z&N;_LlH@2kNnWxFh$Ioo2$Dgvb4zSZ8UzE6=mABEkB%ok_T z%4;-EPRzsJ$+VT;IUQFX5q-3^sxlC}G!xid9J<3zF5oC#<&Pmn?eH8^&t-t;em>$WX9s#_q@entx!F0NbjDr2c}=6EPz!R3 zLoBivd)mzxbGm{F_Y&suQ&fw~d&M@{;2x06;b*gOSS4i49s=7P`EEUf*3zz;ylS73 zazM6g|HM_evLe8IJmi)6=F87IW_B;PZw;h;N5d#PJe2-OGKW!b(R)k7(T3=rp}97x z4|EF|rR-evV9^;v9J@?uC>oLR$n#;yyqcZMM`Z5^aSC?^OYMwL2;{UBlC&{xj^g8T zh(}3$sWLd*d>*|e(o8SCoZ}8@RXWHwP%Et5H>6|}I_U^=%JdUm_X&tMbN36h3852Za@Ek!tSdtyS(%y<}AOebV}>r|Mm5q6hRjiKdJ*EA|`o#{_|Lf*kK3r~+>U zDk*VcKba8u`c&_pzTAo7w@g7XqeOJmNavlsGQtu~Y&4Q!^2aR8>g~bz^ffxy>((2` z$TcM}b6NJ#z|{9uy64Xv%?Kv~708?)kwoyw3M~#bE&D(mT!nVNdFy>8TBYgoB0~Ho zsO_M1NQcwMaIf_n3UB%6iR4D!i?(+}ead*_se;>#LU)$1UlF!xJ8Y;C6;*{W)@*Wr znUfqyS;UKGY7uv}Bo1O0k5vh0e>sz2Qzr7((G%uWW!WhcTT!y*P_f>N}X?6aebY1S{-sSs7tQ45S%mpBv!P0lAqB34m3^*Ikx$h(LMra#`U zuh6M}A$r@0l5By)%g5k-f*#!*ujRh4pxvufA%dPoC0SSK8=c5ZEODn1tP-qc8y zT!ohDXP9w};Ao5{S$=83e&73u&}un+%kNIHCfZ#nR8Xs$*E2_V@vEH=hiE&)9laN? ztzx&hjxd90N1t&J8Lt_cY1{045{XmQjJ{n^l|d9361eQGp49?;h^lr4<8#jDQ{Ryu z-CCYgYIO;*NB2|D)W*1n7Y^R>AEaQVj&T*V<&~MU+mb3gWQfV@{l>cj%kxYUKQNZ- zD*hsAgrSndzFsnzQn~)xlx>9$-LmtexJ1RKz-RTb1k#NxV}51rEgvl$im2T^1L?fT zDCLRFsG)YzQ%)!O;c2ME*MJfRRO4CIM8*dEQ3Rd4W96e^IDHG9{HEF3%Hy&nP>%aO znX4V*+K-fS68O9($duSz(qxa+V(DLA*4>RRF`Yv2B#qqgM@Dyj4!;LvzMYHc=lrPX zpl6NC?(^`wAHVn-ehS&GJ=?`$g+nGEtRjukuuuA`qBH~r3xiDR(};G(h0`j`sdmK& z5e)@KY||=V-JaGY>u%uHs#QK~V;V)PReLw1((dpScRMM(-Q{V!(Va=HYTXixS~d0= zmF-jKr|lj(XhpuSh0KJ^jD-X6oo*>N;)tgpkajt93g9XiUDF_ z?i#B;?eI%$C;h$%a<8~}X!Hnk9$7BBb#bo;oxs3z**w2WpezqHu8=Mx`EzF=DgtZC z{k>Rk_0U(;B92}Mnn#un1=wU<+-IBwW(eu=CzfZ=FF4b@KNT73eVdNiw{+P~T$}F} ziZZAGAe#lg6e$nkA6e#~1p5-bd)}F(D7GBEo76PfigLl}Q#V&6ay|_nDpHQn!Ks zd>g9(>w)|3lB@g+6;xzW*3j+~jNsjGJ@P)cc<>C>jkj+_zoEp*@PE@wn;VAt0C)XL zJQSNqGVcyPUWLVGdge6Y`;C&@#U3yA+(a`X)t{EF2PmNNV_I#g@@64^3$&_zRPQ|K zO*dKnjjrwR&t~FRAV&0 zL72t&@T-f-vjnlGAp;bY4;(5i_K=l0LMTMo38-{f?I+mbtlm+)=dPpBTH2Z!c%i|? z-AgixpADSvQ7$gBNkx9=|G)-R8}ft&rFBn|F>^KF@_x0Hq7D~BS?^SB_!DDL+2-Rf{C+X%$j3e^_WQw1MVQx}A;T8I=<}SGkrOam zy3u~afD$9EczmljQZH$-FAfXXj8Z9z($nWLNf*>N5FU1a2aS=R$LZ+jj9$)D;Q zzv;By19hX73*r}FzQHQhf|3N!9NVp7p9U5P1%Hd|4`lU7Z_KY6Qpt?qoi+1Pb~`MrVZkgURV;|X9efnV%+JE& zIGL7ZIQWA%$b`DK>m6gg`(#31zF($Cv=OM%U*zV^nozLCZ=;<0-o!c(Bh*Erua~xV z{pF1x;TTKn1tt3C8WAq1we`Vx!x+&du_G?41*=q|&@G-P4@?9x>|R2@?G2y=f7N?m zlo|Au;aO)<==p@-R?*^H%m`01G`7me{VmwaD3lMInO4+!3CoGG5AoEu*NqGYca#qD zQh-33hLl~A2$y>eBE6RmH7POker$7JRNEWh8QR}J#FMfU>%Dv)<~eH0Q&BUZh*1Qy z_{t=WD$G|AW{ZG`j#9>jY@l)As6&8t2bA~1AJDg*IuB#xqh4+j&wl>y(ZHzX?ZXie zr+7c?t^DGX?iNgP5HHCJ@}+$!{ORmO)dS?Uk)-syh*lothMy4kA**Uvb9@Z2-3(l~ zCe~)>B3$D$D7p6xg8q!jP#A4;Y9#{ZMy2N)=7aFzfdI!1vR%}gUwXH~(}pfu4{x{O&_o%=kHV_fppONGrO>HKYApDzhFnIBfbUHe=26Q_ z$#au%rMirm3@9gvNZA4f0v9#&0p)agDJEnRE=ztZ%x1Qqd*B)&aO00_@>4FgFQPYW z_)9p}pWWN8DvAzbpAcjn zwUz0WeTxjX5 zYB1Zlss`jVl95*fR$E&2U60q=R~_%6ak}AA93!vhvFY9CE^vkO^NP*P#-lKJnj@FC z@H{Wxoe%x<7lDgb1;Ys8{gI`?wtKjmmvVT!vfQFiO6eRsMM2+b0FSN2KoslT-gb*|mvbLJ@22jO2u;ekcs6WfV+M<2KLo;*K zA{Lijc;cpLwOhm%lnCD~WeIgj5h>d?5vX`2>oS) z+&hXZf0mHF%GUb|J9>^njT9o4(gO_GN8w1OJhalnsN zqKboIFyg@0F<2nMWc0A{GSA;aKl?bq+J57Nbw*3E*bn>(jrEoc3&(d;;*;#I|U}LzEZWoU&pOfyeShcL>B?*vKYY#gE_qH}p z0tJB>DZC_e@P4%N<;zqs+3dYQqUDaTaMAU`7X$BRpQ<|r7c@y*IJ0)l>HXy+rHKi>KT@>-?P8jm+%*D_1gtZK}RRTkf7@{&{X3GN)U>aWVokH7UZvU7& zieByP^oQ?}Fh$OOd8o~>(s4NuiDcn8G5ed*$3@MJ@at!An>pCkTyZzV_Y$o5ICve^ z)Xv1&#mUsr_U6dm$O@I0oR$1$FCc)*B5LX4tYqpWW^e0YZ)a-fLe7iIB4%%6@1*Kr zXlzQ(B5vwtX>6(@C5p--X=&qP>I9q;Qxi8ewl^_FWsx?uG`DaeXX8X=ku$Y3cd;Pn z<>E$VQFS$P@pJ(0ma#Q72f);R6tonLtW1qvP+2rBO#nzP4pbo_)L$)we}jJq-ItY= zkpv+iAb_3&|3L65kQfO4F6uqhJLvcB-NVE{zmM|>7Y7>~hn9qt;1Mf5I~xlbhoH%JgLFfnnkaAZ?M;J!{Sa;;BHcztxdSvB0I0bSx`lvc@Cg&FxmzGyn z*VZ@o4-SFQrqi?Yi<^ESfDnIn>-V1hZ~ejo`gIEl2@wh9re6rR+<^@d3+eVFHe_rO zWfVil2Ndit?%;^V<-BdVOUa?Khil|Ch>AzWIsbV7rfWZX_Rn=J;6K%~-#hk4za~Lw zhzP*oA!32Rp!R?%r7^B;Q{=bqcJEIaL7GOa+D#q&>T_q3HJBF!yAWmCkXJsF0b!$DgX z|65&GB!Wxs7fsc*!~ILrP{Qe~;0~|-q44aMN&PK%qF1NvpNAB>+HwT_Y)(#d{lV~w zzZZVK|5o8=`%C!$68`_63x5~)MM&jBl+)ue=JKI?b+>}uC~r?iniv=8z(GOQN^nq4 z^y#5JjJ|Ys!kSx%a&-0Whxa0mu9PGbG{oPcXOFV}ETFgguPAk1UZc4;r$hGDAfLIQ zkbzF`^IA9vSG{o;2hzV?bbSa1eH;AKT;R_L2mKjP>Q5-tb-(UQUBM5`SgoVqry#NG4S)k)~2;LRkaG1g!O{@+1-t(|aRZ*2B+sc!r=7uo|JlWle8=D_{Zk#28Q3nxuU)2FtuH`jFI&sxt$ zaES@F`e;9%&Z~?`D4N5n;h+Y24hf;)lgk=|)oU?7vadxXmbyEmc%!{QBKghZ(pvBa z@gB@oGH1QRhVY);v<-!#S+){0Ibgd5MxBSV2wpqN^|=0I52<_2|9bmj24S{QvT%055}b%r>7I0$7?UAMq$)O+rj@_K`aT6sem*X+x+ zBOK&bN+g>ZIb6lHj&9m{+O8c4v=X@KNkTuYpd`U}Sch{0G726Twy@)2U*Cg+DilwH z>DH|HdpnH{!n=yC&ZGGHP>VI`EUR@j*>!ib%OC3`TpRn!YuGpX)gYs54MkkbLh9)j z-dRe?`m`6P)u7FJ_|n-nFU~;7R#irc>>@W~J_;q`KFi*XDNwulBPPkN@wf^G{#;(< z^(8mWjVLq9%cR+Tzhn%2FuVt=u`9N+${dtkz1F%IwHis@fpMwa9FJ6+(;iaEMb271OYCq^2_&cNVhrpuf(-!<^9R|ZdT<@< z)%lgjN@DgYHzF%dy3H^9kD=DG>-Q;R^Z1TVOT^JgZ;MIvaGO}41}l&Cf03Vh52c0({qgKVBUDLJ8foM~$u1e~`ns5C zJnf*09LVuSG(CSH`)Kw)4A<70jWC(lNGPOSmxE-&2naHgNt4vSTez)WA@u!Xw1#lA ze=ME7qU9j^+t+XRFsNTat2F)=CrJG|_2;@uHm^Jc9(Pm2e zF^lr-c?=c?kb0}T;L+NFkrJnG0>i12!=KjGERNaN=@)ai>|ePYl_a7Qav3s6Ru#`0 z!$H2y{14tpd>e%p^N^{keD_jMw=?U8z5Q62SB@+`?WexEuKp0T{b^Ha@Kv4>z?Bz? zB`?cq-4GE%$#n37ii}mjAL!)OgHzg?*{(L)%o+LxMhopSdy=y^r7mmqj>~D(&AOHR za!aP^!;o<>1nG4+UdfJfHShc35@Wo25xORQp%zkOfYjpFhkZP|zPn>Q_5n`W+fs>rl#K+Fu;mL>t zYU;>L4@Nh>O#8}f&B8&m;7k?M_GGJ!>`megtDXmMJ+~FU6~*TrluW;`Fs+>h>&U*_ z_-O2tSG=tR#;`)uc~g37HB)&}bzH(HRKsY~;$g&hoWq{GWf7ftyK|0AmfT2bDWOjm zhldLBxO95hMJfHvioV$);@~Q;*{YZokpQH|Y5v$Spa__gbo>$xG9twsq%V}h6ncjZ zswX}I{NU|w{OHSr&T?%7MS*LpQ*MQ|Ql5)Eb!!FnXN*@s!{SRqzk;qxbSRV=hI4Ob zs9jWB(Dwj(xjwcL)v2BA0`vT6lV_Rr(Z>$h(kta0t_+bSZ)we1j><_&*XRl2#K-`y zD5ryiu!Zt_c$hcvI%W^F^c))~1v{;vYgxKC^r}d1+YtCbjOZra@3l$=2WiZS$;y81 zI5x_o4rk1>{O$s^a=R>ASJIGMj%|o3E+wA2>^v+PH43^@m!=s`x#IdnOCa8c2O#J<7aKIbE za+&ml@5nRpJRWlLERI*@rw6R!7@X9Bmx84dq z8QDC1Na|OkGj-OC`Yzk6oT$@~TK$`l^7v4R!fWzRh-q~^(_}iuj;APNA#}LwYOzij z=#LT0MdAdQSCo^$nL0@Ed|V2c$EBM1yO`xFTw#>-+h9PZ)idz{1}G}fv9I?_)P^nk z(x4XGB_q=xRY!9gGAG7Y9@3#&G+Rp^m=5R}`+yBcD8_%GDHoJtMl+BaH7Y0H0_ zoyGvKO>(HI7%{D2;>~G4|MjHWw;idM%RL?3ANpsFk$PIlxD{ z$&aYscGH9>exi&;mq3{V%O=*V=b64m>&m4(i0LU|C04|BvOktro7+iC5)WzTnwMp&Dw_wqLTONQqoRGxw}qw^VYUaJlFd|DeQMb3lEgKny4Rs3Co1NLk59 zOVc)QMNrUc;YM!Ml6PVX^b|id%U0WBP2n7<`KjxeJmUmdwp3lm{t7w#*h&hm4k*nib4)ZPYBitpT}Q5ms(b3@rqtN zvr4r$%J_u-0i>o582zovY8?00Uzr>YY}kr{ks_iAhy2@g8vx? zfz?|Lv?3(+GivP0JdE6`b@lK!ro0qQ)D=Fxrae~5$|9_Zz67qgOdF6Qd|@Y5XO078R6JypuMe@8~ohszNJO?7urt%I8(#gZRaoQBJgWRZ2u`BrwXN_;*2 ztF-th8??ENhim=+aI)a|FP|*tx)H$BxzODQ)Z$s5Wm zeIivLR_&$npvY(>WTk9W2a*D-F7A_Zh_5qBxb^ywY^+c<5oNMAawOC;Cm5e5JS>FZ zg@yw?xB9dPG*gh1PCBG8Vkf&?ycJEj08Q;VQ3p%nhN`B-3rTuRwreZtZ||0}jt7at zbqGIHGtlyOLGzV9d@8;e))(oJcn~}msL<}^1M%L=89{rlDneP{e8r-2D!w_Esowf# zS21cL9qFWeQC;wkqe-v`0T*#@5EW`toIfRUg|dpMLc5jnX|VKKj?AsR3Qc_c;#_xX z5(ydg+S+p3xGxyiTBFj*c#7fnNm;KyK^fwe9H$4LB-y}0i}PTz zWeM)C>>Bb5IEbEMojutLbNomuJN#@s=U%kpSh{LG+JinxHKUJi4wjkgGw4VG^vaK@ zT%eXM(Gs+MIWsIA+M?%yBL_q|&gqu&( zf@!d7oIde^2CGS}9z6oN6yt^|S(mV@0AEH!Ga-)AF-9ZGwh$O5LG~VVE*izj7gN-v zXJwOaMNZe6X-}@>=d)SG*{QkpR&Sv|iiWF^#+NW%cnyPp#O5_>I$^#Gl6w*FGqt;k zz&eo;?o4|tRd1WOO1@h~R0|C?nXjbB!C8ed=*>fof#Si~#cy%1a4GWG{O_*hfAx3` zZ7F|@%OnF3RLQ|vkrpIqnNp#N7iI4_Yj}jqy{KLc1NQm!x=wmeaq(XPZ*+bbTBx;@ zj`;ReB%%iJD&Sn2kXfnrLzX4HJymM(H!mn7aCW)YsGwr(q<46sy*{MYIy(bBK3)3_ zAC60y>{+`GvJWbsU!O@^FwqHtd{hxNCVZ_3-gXiE2V0>z>ub`;_csd*C^DTI8f+!euddH&9C<=t3fm zu40L>7%NijvvWW|QI=8OP9Xw!EbwH;w_un+6b1$|+s|58OV=oAW=I5ij7HE?1PC z%A(u0=3Pg72?JT+jU&ReWNw<&ty+v<#gN7Vg`W50>^8Miv^a3UVrZ@pEH`n^SMH)* zA&u>;TJ?cHgbWfFO6f!;BbMv1%0ZSB;Gj9`w38|>WGogoxnr!B%fZt5XwolsMpH$9X%zMa6xTg;I~7h&%}EF=!}s2E9g36j@+)0jzA zU)9SnpIqGUhsrpg1V*!|Z+i3$cFq|xX@t2m2XxITkEU8i^=Yv}_}de{=GAnd8OW(8 zz|uW`GkmFo(2rj@S7RIn`mK`PYova=V5LaE2=iUKp@{WuWxMR@r_0pu$(mJW{8AdX~dbNx|&+vuD6w#%V?ZTp>Do!LEAOZ(i( zgr5@Uu1COQ{@k=>kM|8S6i~l@T$VZ;g>qdp`yjYL70qv3AS#4g@pt9K1=6jFbQLKf zNZ|Z8Z@B5@8~c_T4gGx_`$S%s*;b%zr4d_~HJ>l3+^aj#rP=|_N6@pZgSA(+VDpIU zghR5*Mh-fX`r~w%m@xVnquk%&fdW^ke@{#2d9}olg^yp#K%j| zERHP?u(G~n;=+ez3WhyOy8!jeqKzk-#AzJDq;JXy#prHJcTqMmIq)MlQ)iP0%tuVt zk`@Sdo@sg#=f5qBdt+i4DEo*NgRXp<_CIakJsp43`xU{ zrg(WJEvD${B|Xx!JG|es0@pY7<$v8Pm!uX#lcaek^d1MPzY^kFg^D7nWwGO1@(&&@ zohcx~i(A%tZ<}gIm{eBAg!jQ>*XSUv+;CdZ-*5d*V>Vlb7N%zGEb8jHC)6s+nl=Nu z?~b;um_)Z)aF{=+Or^xw%|%(MD9jVmF|^U`0G&74n5SY^}6(A!vEn|zC zNm@cz@?~>5Q~Zw8Y(RNc30f7xaT$$rk%^;5o9u(-aJqABILQ4t?+295;R2uuM4S(< zw!=Y!_=m+O30vmJX>(uB*el~$P9Zw$jbvpw$(P)2=fo*tTfPjK{Sh!PmR0Tjo_*PO zl2iH$%am`+ExW%s7Y56Gz1rxkR95F~XdmHRV@@XHziJ&gCCk(;$MFA2w`lF$7w&!Z zk{>HRp@QPW`}tSmi%?W*`mbGajb<-~RwKNjrb40L2X2QS&V@D~;NFQCA&r%FVjpodkT~ECOeIR1y~Qy!g_eVoyIbFar%?3 z3gcN6=H-VI60m0lJq&jR;f5{ZCSGy4Q6Rn|YLbZ>sL zhci9N=G>O&%kiiFqtYP{uvok^OKi{GZi(37@&*sX_b(p3z~db-RQEy!CH+`O>Eh*a z#Mq@n!IRHv5suWKZ8L#+FJ0gJ3XH-*L~o+wX-!;B1xJ>Y5TUu@Alls6SY(+`uWwf{ zv_TGt($|_V?o@P}Mt#MTFy3<316~0DEphP-LXNPM1*7Gj?Tr`n1Vff+=Z?GJAU1tp zCsJHEC^io=PI=YtdL(A_rnwcBwy+_WdG&eTNQQbQB^0W$G4mB~fm-NAOEDDN{Tsk< z$bTy@%3opnjqwmynX6!sr(1)Y-EnDgRZA@N0t4lsgs>2=o9Z-wMi|>Ha>N_@YhS!i z7cU_)z3_s^R{kmHgx$yTK8@xC_8{0==@tD2hyhPVpqsGYIc=q2SElQ5@5`i`D?wcz z7wHC%rp#LWFH9t>{uL1u(~}9=Osz3FaL}=)wgKcjq4b!U$Y2iN)tyRVKq+D1X~SQY zAGEW3H9zWBblLghY7G&kos@}Nr71c?t+!EzZt2kx>G5a1>3(;*qy_WD{{1H=BXUpK z%%~E&X`0-H9_*DjzIWUp3ik|AOyi9ae)3>54Kxo$3b?~d`JvJxx?||^6iFHF#|Q#k z^p2Q9eMrUI7cmu|Fjr_1Tr5m#2e&CwCzi3I+M^W|sXtWyU%7Begx={c%4GP+-e@C_ z0eN+RM_U{RNzV)>auc^a{?jDHdzL4ccRI2Br50!rdpNIU59KqST0W6_K&ADfI{T4Kv28x3nPdEP3@I#*cG;Z{kG}>bj0_UuDk3us1HN_=M)) zMIn!sa#Vn|OmdRw^xb9^oV1avnjHY0sq} zNaS++lJzHvJMQw(go#GML3%NVxTF2_E%sD>r^-*sK35Qvr0CP7dd^+Wc_;YBmr%}w_+J_hgU+7cUQG6wP#sCeCs`5 z&%m&&cRC?x*5qY98_XbaOtDZ~5lixYq!+Hzoh$3`ruWuQyKTR%8g}?fS;_N;yA+8O zQ@g)ElybZ!kJZE5#nowD{P=w-Ek?_;RRdqh#d-O&yVH2JY17#s#8?L4po?MwZQFVR zJK<%FjtkQ4)!Fh*;!Lh*HF;md4G8N^MLLJt~G4T6h#%^eL9=rVDCpOhR8y+2wz(V-L6T`4PR5MOCmOiDk*gDEnPHt8Z;5R=+JR3kBy;=S}ciWzwqfWv><7C$<<2jmHR}#R?US4?pP|44=ucal&oO}Tb%Q=2+La09#Rb$%`lJF5>T-jFU=7WOTqA!>5ZU*06z@MP z2K0d~2uIo)jJPM)doAodRoVXpY4toDvCQ#PAnFpa?aM?Y-3k2SbtHF%?1#|1N$tY@H29lPt$6bW4m&Tlhd4v^BO(2t+ z#CryL(UL7dWI=})ClSa@MN@1WMkzx_pXaKZ3c4Q79@_>X}O}j@7Oia}m|Au_d-!|H>?v12>Za%=VzgU8#lwZ4suZ>fA zAzXxi+N-<@CWh6KtuW+|8gZ;AW4(x1iWThSl$yuA?ZrH6ep}OUwDNnHQTX=~+E2t% zWCbb}MPfC>_BJ?;Sk>LFtCeF_;U7Cm2zpei^&{=Ko1Vh_(qZRh^J+UZNh`*T{Y_KF z?QV15F5Is!q@+%S0D=m|jUIelecGy)6fKD#6n5#-TAO?=;o^R>u+w3IsbL`0)U3l) zW^1ceeZR~`@-D7XF#`h$XYqkpT$Y`P2EF8+@8R1%VCR!4dV%aTa4d`jET<{BK&)70 zW!J+Rf3>(dcMPq*mMAOV$s@epF!YUqgP>`BLK+T3$#0>0^v)v9hQ$18v;PJ!>Wy0HoKL(iM~y&N^&8PM_hqit#=a5ReYZ&VG> z)ix%9R;Ywu#DPMC793O!zL@K&D;Jt!&Np=uMikI2+w`)sn$#P!6J}(Ox*j7z_oVOU zPW0sDkO))SGPUa{)O;VLrsC++kOrOrK3S*O3kfFs?LZBPnLb}=&Lo=xTvP&@hh8Nk6cLzTCQWyGjLmBP^3#T zkA;J<`Z#{5DH@&miHUL47JX9nQ6p#xoaVsU^+v4R%%>{&PL&s2Z_%8umu%8T-u3mvlW7H>)P#- z?6A*xYFgfwHtDVb9g3t_puxym{3970e%2NZLgc#5-P`N>$Q>WW#ztwOgqy@l`|r+@AocV=s!AxAp7Y{3JWfxT#O8OAh&1{R_sub zMg#*&C+OQ=)3AYu*H?3=-Re?oCmEz@2>lX_0+4%+aTwtH+v;eD68bzPU#)AcMu#2m zAR~}nt~z=pXjrC@pdZFVu}w_;(3}%t^&=LbY-Dv_16ud%wBzv4Z}tk4i)K6BLFs*w z%kDx_avIwp&u5W<&T7#B2l+$RC@OuP0CKhkXm?w47ue(K&@)}RkxG+>57_6Gk=s5P z$l!vf`Df0GL(FLl15cY`b7m(9y1+yJTx-DOau`FpUTI(ITc>u;12Q>5LuNZigSmdy z(Vy1VV`?ue$0;35YKq^Oy!XW|VC8WV^+p*(O5GlAP_)kMhJ^?W8xcYHVdF=p@yGIo zN6=$6C5V8g?)N7Xc1H~_1S%QB*dAvreSZPWOHlGX^zb(v28!fIO88gSxx+3EOw12( zGbmW6-+q1SD-Uvh26TL}=L@W!=b+-Yj0ReM03VWD#pMF{%2OLME(ud_ouKIUwI_}& zm3|$gBoDF@TWE43IJvjbIy$NaGttnW8ExNgRdi-}%HV3oHK%`ushIdhcUm3yd+F#*EHO1OhE0AHdJ%2=pPer zMDw4y^YlH)j%Xu_bPPIF-=9gQhU)8mad#N*6>;luVAJiJSItg3jPU?;gJUW`yhun{ zy)kTKp(shNWw7$G`S~j!24ISp1hhV>^YG1$;E*`$=PS};$&|#YRqfA8!{0rz5E%|m z?Xa%bozUYPEau*Ex&wQ#uKlK;sWCv>#Kdt%-ix@TKG7AeDBw;PSDf?w7rK;ciFulL z2VnYSj7}~&hGtGQwSBzY(tx$u<=bLHRZxXH)*;Gk*(?X;V)Lq5EXaZY_ic_0oEhBa zOIwgS0Y=PHN8DRLY!GIa6hoITQ|sj9_C31@*Da^!efZGr5ZuX#fd^@6)lxY)BPwQ! zpAzN+cq=@zS$|&u(c09Fh`Et5-*eA#jif zMCVXnS7Lp#E`Kh41zRMwL8OSIMiuXd$*9iQcel3z@ z{e3KH#?SDucEIh*0+B^zu%f165DC%7x;H-VGv@wDrQUEE)6o>cHdC^V1sK~qVOKKS z(7JGvsU{!z#L$}!#bUueoqyT~jKT8<KorFUHi;3iIfClTVp_bu_?ZCCbA16r(k~3PvN$3D*-T5S?#t_B-k< zgyUW66?b~;t|if(U6fEt);{tNb0KS0ym*(ff0&U2-gUcj)K?;p42&~C&<6)!J#)^h z*VV_%YTK{QsP^03-WDdUl8~@xgR%BaX%s7Jl_NJA4~$C!Qk5|w1hPNw6{*; z@(nieA?EKQyfL(6Py1dF~n%UG$m$FRh*s2A6O=Jk; z!fw@AAo`C85mzSZDmL3L<|^=l0e2$meC3v%%Vup&LvU`QS24_ZM6*$oYcZ2ZP*E&t zUdgv`R4#O;C#De$1Q(*jD@))YjGaAblP{cO&US)>)|}rXfDiim#f}7lIHhC$b68VM zOMUTZBN#27dwu?TB>$Mo1svMBDf|$>jkwi$A1onCUah4_nf{Ut$ktqeBM_fl?=3SazM*NqL3?X1+=%roU0IYZ74Wz1=4Eec ztB?E0yyd<)(0_5D*TDw|S$cpACzmV$cR#56)9bsocIMR|WVQ=D3d9mkcq!@|z@cgR zY(aIk0pDX5TO`4Hju#q&$-y;WmN7B1$;GZz3{f`2$71GZt2ge+n-dI&CUX6H6*LcjNe8Cz*;8`@CnT|kCI{M{hVvS z5;A>_@v_5(g0FA#-qy{pZGHi81CQ-Q~I( zh`HFQo)^)vFG^dzU)}xtU6da>`w+8JdwprHQajZl726|Es^=wn#WV%pW`Tvwad}e{%=ajkzd;_5%3N(G z?O}%KOfy&dl0G)P4E^Tx8Zh@x1xxE+-%4Anh>Xqwj5SK~a913JRUw)^#yF0dwH^bG zFS-zH;DwwG!TM|KmlV>ETV@`H`UNWen^ZD8mU#oFsJ@&F+0d$qel5I}Kn>ZShv5c#es7ZP zJLwh#TrIBYa8TH5^i zuv3A%@-Ux7U9r?F+b&yYqk4u?aVT%X*eZ54;FD?kh9Y(4kEe_O_-$gIKdCHAPWC3Q z#z1NiS_cy|HB&7nHfBy%W;Q-LAe9J^cf`dWxB{I1t!Qa)CvNCsN=_@z&(6xu!OF)5 zgbcHDaU9d&hDly zY8IBx1ImK&dSEeVq)qB z)7jkwWy~?l11$JI8@}IvyQmaVX0EGFKT;&f{%wsY*R^(}`@crQ3ONN!PlL0fH zbZX1Hw{2-d@r?UK?g*B3e=8fYnV1QMdDA4S>@8Rto;02I3EX{%twnWTl;CNo2dxg^Db zlj5Sh9OB$U|*HT;^72PiIo#n8sy91ubv-H$W*FZ#)wx)=g?7y?B8LBl^pb1ocUQ;0J(NDbp{5}iP_lR_J8=~@`HrGQS2wtein!!26hKLk=4KRuL3DR_XLjdcws$gTVdLZDV_{`yVP|IoAefvz?OY5! znCzS>0Su_*KX8bfIvYD#I=I|S<2N`BjqF`r1Zikc$$z0XG5#I1gR7Ix540!9iLGnU^+{wGa-o2&l2_BRClZ~y&O$-hM6zsU6$x&9>z{7b-p zao1nu`j;s1F9H9>U4N15U!uUj1pNOEcm1KW13m^p8uuHG{O_{4vi~`;+dnXO0o#l1 z#-?SzvBTKOf3tkqfmDrvJQ77 NkDU#u^rvO}zW~E0oGkzV literal 0 HcmV?d00001 diff --git a/figures/chipdev_questions.tex b/figures/chipdev_questions.tex new file mode 100644 index 0000000..c977064 --- /dev/null +++ b/figures/chipdev_questions.tex @@ -0,0 +1,7 @@ + +\begin{figure}[t] + \centering + \frame{\includegraphics[width=0.7\linewidth]{figures/chipdev_questions.pdf}} + \caption{An example of questions that ChipDev offers. \cite{ChipDev}} + \label{fig:chipdev_questions} +\end{figure} diff --git a/tex/chapters/1_introduction.tex b/tex/chapters/1_introduction.tex index 983975d..20006ad 100644 --- a/tex/chapters/1_introduction.tex +++ b/tex/chapters/1_introduction.tex @@ -2,11 +2,11 @@ \chapter{Introduction} \label{chapter:introduction} -At the end of Dennard scaling, it may be tempting to think that VLSI and digital design are becoming less-important engineering fields. However, the United States government wouldn't agree, considering they are investing \$280 billion over the next ten years into the CHIPS Act. \cite{mckinsey} Similarly, TSMC, the world's leading semiconductor manufacturer, is expecting to open three new advanced-node fabs in 2024. \cite{taipeitimes} In addition, in 2020, Google started a partnership with GlobalFoundries, SkyWater Technology, and Efabless to provide fully open-source Process Design Kits (PDKs) and toolchains to lower the barrier of entry for new Silicon engineers. \cite{GooglePartnersWithSkyWater, googleSilicon} Investments like these have created a never-ending demand for chip developers, and Universities should be working to meet this demand. +At the end of Dennard scaling, it may be tempting to think that VLSI and digital design are becoming less-important engineering fields. However, the United States government wouldn't agree, considering they are investing \$280 billion over the next ten years into the CHIPS Act \cite{mckinsey}. Similarly, TSMC, the world's leading semiconductor manufacturer, is expecting to open three new advanced-node fabs in 2024 \cite{taipeitimes}. In addition, in 2020, Google started a partnership with GlobalFoundries, SkyWater Technology, and Efabless to provide fully open-source Process Design Kits (PDKs) and toolchains to lower the barrier of entry for new Silicon engineers \cite{GooglePartnersWithSkyWater, googleSilicon}. Investments like these have created a never-ending demand for chip developers, and Universities should be working to meet this demand. \input{figures/asic_flow} -In the semiconductor industry, the process of designing an application-specific integrated circuit (ASIC) follows a well-defined sequence, where each step requires training and practice. \cite{intelDesignFlow, anysiliconDesignFlow, kynixDesignFlow} The following presents an abbreviated flow tailored for students and open-source tool usage, as illustrated in \autoref{fig:asic_flow}. This process begins with the design phase, where engineers specify the functionality and requirements of the finished integrated circuit (IC). Then, they use hardware description languages (HDLs) like SystemVerilog to detail the circuit's operations and verify its correctness through simulation. After the HDL implementation passes a series of behavioral simulations, it is synthesized for the standard cell library of the target and paired with additional digital and mixed-signal IP blocks. Further testing can include running logical equivalence checks (LECs) to ensure the synthesized netlist is correct, rerunning the behavioral simulations on the synthesized netlist to check for reset behavior, or by running intensive simulations on an FPGA. Once these circuit-level tests pass, layout is completed according to timing constraints and design rule checks (DRC). If timing cannot be met, the layout or HDL implementation may need to be adjusted. Finally, after the layout and simulations checks pass, the design is converted into a Graphic Data Stream (GDS) file which is sent to a semiconductor foundry for mass-production. +In the semiconductor industry, the process of designing an application-specific integrated circuit (ASIC) follows a well-defined sequence, where each step requires training and practice \cite{intelDesignFlow, anysiliconDesignFlow, kynixDesignFlow}. The following presents an abbreviated flow tailored for students and open-source tool usage, as illustrated in \autoref{fig:asic_flow}. This process begins with the design phase, where engineers specify the functionality and requirements of the finished integrated circuit (IC). Then, they use hardware description languages (HDLs) like SystemVerilog to detail the circuit's operations and verify its correctness through simulation. After the HDL implementation passes a series of behavioral simulations, it is synthesized for the standard cell library of the target and paired with additional digital and mixed-signal IP blocks. Further testing can include running logical equivalence checks (LECs) to ensure the synthesized netlist is correct, rerunning the behavioral simulations on the synthesized netlist to check for reset behavior, or by running intensive simulations on an FPGA. Once these circuit-level tests pass, layout is completed according to timing constraints and design rule checks (DRC). If timing cannot be met, the layout or HDL implementation may need to be adjusted. Finally, after the layout and simulations checks pass, the design is converted into a Graphic Data Stream (GDS) file which is sent to a semiconductor foundry for mass-production. Because of how many skills are required to create an ASIC design it is crucial for Universities to offer a strong foundation for writing and working with HDLs to design hardware. Nevertheless, HDLs come with a formidable learning curve, partly due to the difficulties of distinguishing between what code is synthesizable (able to be converted into hardware) and what should be used solely for verification purposes. Additionally, the prevalence of bugs in common HDL tools, the extraordinary inaccessibility of proprietary tools, and the lack of reliable online educational resources are a major deterrent for students and hobbyists who wish to experiment with digital design on their own. Another factor contributing to the complexity is the interdisciplinary nature of ASIC design. For many students, especially those with a software background, this may be their first experience with hardware design, while hardware students must also transition into a more software-centric environment. Bridging this gap and understanding both aspects is vital in today's world of using computer-aided design (CAD) software to design hardware. Universities must recognize the formidable learning curve associated with HDLs and provide comprehensive educational resources, practical hands-on experiences, and interdisciplinary exposure to prepare students for the intricate realm of ASIC design. diff --git a/tex/chapters/2_open_source_tools.tex b/tex/chapters/2_open_source_tools.tex index 9846216..66065fb 100644 --- a/tex/chapters/2_open_source_tools.tex +++ b/tex/chapters/2_open_source_tools.tex @@ -6,7 +6,7 @@ \chapter{Advantages of Open-Source Tools in Education} \section{Proprietary tool prices deter students.} -Many students choose to pursue a degree in computer engineering due to the plethora of creative outlets that it introduces them to. Consider the hands-on process of purchasing affordable components and assembling circuits on breadboards. Likewise, platforms like Arduinos and Raspberry Pis are often explored alongside the utilization of programming languages such as C++, Python, and JavaScript. The accessibility and low cost of these mediums often foster self-directed learning. Similarly, introductory Verilog courses can serve as yet another avenue for creative expression, particularly when orchestrated using free and open-source tools. However, a significant obstruction emerges when proprietary alternatives such as Questa, VCS, and Xcelium, coupled with licensing fees over \$5,000, \cite{olofssonLatchUp, licensePricesReddit} become the focal point of a student's introduction to Verilog. Such financial barriers can easily deter enthusiasm for self-guided learning, particularly when students anticipate losing access to the software upon graduation. This is likely the reason why several students in UC Santa Barbara's Verilog courses choose to disobey the requirement of using ModelSim, and instead use Icarus and GTKWave. Students don't want to feel like their time is wasted learning a tool if they lose access to it upon graduation. +Many students choose to pursue a degree in computer engineering due to the plethora of creative outlets that it introduces them to. Consider the hands-on process of purchasing affordable components and assembling circuits on breadboards. Likewise, platforms like Arduinos and Raspberry Pis are often explored alongside the utilization of programming languages such as C++, Python, and JavaScript. The accessibility and low cost of these mediums often foster self-directed learning. Similarly, introductory Verilog courses can serve as yet another avenue for creative expression, particularly when orchestrated using free and open-source tools. However, a significant obstruction emerges when proprietary alternatives such as Questa, VCS, and Xcelium, coupled with licensing fees over \$5,000 \cite{olofssonLatchUp, licensePricesReddit}, become the focal point of a student's introduction to Verilog. Such financial barriers can easily deter enthusiasm for self-guided learning, particularly when students anticipate losing access to the software upon graduation. This is likely the reason why several students in UC Santa Barbara's Verilog courses choose to disobey the requirement of using ModelSim, and instead use Icarus and GTKWave. Students don't want to feel like their time is wasted learning a tool if they lose access to it upon graduation. \section{Open-source tools are easy to install.} diff --git a/tex/chapters/4_resources.tex b/tex/chapters/4_resources.tex index cdecbaf..41b6705 100644 --- a/tex/chapters/4_resources.tex +++ b/tex/chapters/4_resources.tex @@ -6,7 +6,7 @@ \chapter{Best Resources for Learning Synthesizable SystemVerilog} \section{Stuart Sutherland's synthesis guide is most valuable.} -``Synthesizing SystemVerilog: Busting the Myth that SystemVerilog is only for Verification'' by Stuart Sutherland and Don Mills acts as a comprehensive list of synthesizable SystemVerilog features. Despite the absence of an official SystemVerilog synthesis standard, this paper gives valuable insight into synthesizable language features, emphasizing their practical application into modern hardware designs. Sutherland and Mills surveyed the Synopsys tools Design Compiler and Synplify-Pro to trace the evolution of Verilog-1984 though SystemVerilog-2009 as a comprehensive hardware design and verification language. To assist students, I compiled a summary of Sutherland's synthesis guide which I shared with UCSB ECE 152A and ECE 154B students, [ref]. Providing both of these resources ensures that students receive a strong introduction to synthesizable Verilog syntax and best practices. +``Synthesizing SystemVerilog: Busting the Myth that SystemVerilog is only for Verification'' by Stuart Sutherland and Don Mills acts as a comprehensive list of synthesizable SystemVerilog features. Despite the absence of an official SystemVerilog synthesis standard, this paper gives valuable insight into synthesizable language features, emphasizing their practical application into modern hardware designs. Sutherland and Mills surveyed the Synopsys tools Design Compiler and Synplify-Pro to trace the evolution of Verilog-1984 though SystemVerilog-2009 as a comprehensive hardware design and verification language. To assist those working on ``Labs with CVA6'', I composed a summary of Sutherland's synthesis guide \cite{labsWithCVA6}. Since then, I have shared this summary with dozens of students looking to improve their understanding of synthesizable Verilog. Providing both Sutherland's guide and my summary ensures that students receive a strong introduction to synthesizable Verilog syntax and best practices. \section{Style guides record synthesizable features and best-practices.} @@ -18,8 +18,16 @@ \section{Verilog tutorial websites should be treated cautiously.} It is important to stress the importance of following the provided style guides for Verilog syntax over some of the most popular Verilog tutorial websites, such as ASIC World, Chipverify, and Nandland. Despite the user-friendly approach adopted by these websites, which mirror renowned programming tutorial platforms such as GeekforGeeks, Verilog tutorial websites often propagate misguided advice for novice hardware developers. While style-guides can act as a reference to well-verified practices for beginners and professionals alike, tutorial websites do not always teach current-day, synthesizable design syntax that is compatible with a multitude of tools. Only if students maintain adherence to the instructor-specified style-guides and the subset of synthesizable features, then tutorial websites can be used as resources. -For example, while a TA for ECE 152A, 154A, and 154B, the most prevalent misinformation they encouraged in students was to put combinational logic inside of \mintinline{systemverilog}{always_ff} blocks. [fig] The lowRISC Style Guide, the BSG SystemVerilog Coding Standards, and the IEEE 1364.1-2005 Verilog Synthesis Standard all recommend only putting resets, sets, and enables in \mintinline{systemverilog}{always_ff} blocks. [ref] Unnecessarily large \mintinline{systemverilog}{always_ff} blocks are prone to bugs because \mintinline{systemverilog}{always_ff} blocks don't offer warnings on unhandled code paths, blocking and nonblocking-assignment mismatches can lead to undefined behavior, and synthesis tools may incorrectly infer the incorrect type of flip-flop. (See Figure [fig]) In my experience teaching SystemVerilog, whenever a student asked for help solving a bug, but followed this design practice, I immediately asked them to separate the block into an \mintinline{systemverilog}{always_comb} and \mintinline{systemverilog}{always_ff}. Over half the time, that simple refactor incidentally fixed the student's bug. +\input{figures/asicworld} +\input{figures/always_ff} + +For example, while a TA for ECE 152A, 154A, and 154B, the most prevalent misinformation they encouraged in students was to put combinational logic inside of \mintinline{systemverilog}{always_ff} blocks. (See \autoref{fig:asicworld}). The lowRISC Style Guide, the BSG SystemVerilog Coding Standards, and the IEEE 1364.1-2005 Verilog Synthesis Standard all recommend only putting resets, sets, and enables in \mintinline{systemverilog}{always_ff} blocks \cite{lowRISCstyleguides, BSGstyleguide, 1364.1-2005}. Unnecessarily large \mintinline{systemverilog}{always_ff} blocks are prone to bugs because \mintinline{systemverilog}{always_ff} blocks don't offer warnings on unhandled code paths, blocking and nonblocking-assignment mismatches can lead to undefined behavior, and synthesis tools may incorrectly infer the incorrect type of flip-flop. (See \autoref{fig:always_ff}) In my experience teaching SystemVerilog, whenever a student asked for help solving a bug, but followed this design practice, I immediately asked them to separate the block into an \mintinline{systemverilog}{always_comb} and \mintinline{systemverilog}{always_ff}. Over half the time, that simple refactor incidentally fixed the student's bug. + +\FloatBarrier \section{ChipDev.io can be used to practice Verilog (if used effectively).} -The final resource I like to share with students is ChipDev.io, which offers an online collection of popular Verilog questions, paired with an online IDE and testbench. The 30+ questions range from implementing a shift register to designing an ALU. [fig] If students are looking for lots of practice questions as job interview preparation or to gain general practice, I always recommend ChipDev. Unfortunately, they do not run gate-level simulation or logical equivalence checks, so it may incorrectly reward answers that are unsynthesizable. [ref] Plus, after speaking with the ChipDev team, they notified me that synthesis was not on their priority list. Therefore, I strongly urge students to verify their answers with DigitalJS Online before feeling they have a mastery over the current question. +\input{figures/chipdev_questions} +\input{figures/chipdev_hack} + +The final resource I like to share with students is ChipDev.io, which offers an online collection of popular Verilog questions, paired with an online IDE and testbench. The 30+ questions range from implementing a shift register to designing an ALU; (see \autoref{fig:chipdev_questions}.) If students are looking for lots of practice questions as job interview preparation or for general practice, I always recommend ChipDev. However, ChipDev does not run gate-level simulation or logical equivalence checks, so bad submissions may be incorrectly rewarded; (see \autoref{fig:chipdev_hack}.) Plus, after speaking with the ChipDev team, they notified me that synthesis was not on their priority list. Therefore, I strongly urge students to verify their answers with DigitalJS Online or other synthesis tools before feeling they have a mastery over any question. diff --git a/tex/chapters/5_scalability.tex b/tex/chapters/5_scalability.tex index b4204e7..3440ae1 100644 --- a/tex/chapters/5_scalability.tex +++ b/tex/chapters/5_scalability.tex @@ -14,4 +14,4 @@ \section{Version control should be used in Verilog designs.} \section{SystemVerilog assertions and in-module verification are important.} -The final design strategy for promoting code scalability is to promote in-module verification. Waveform viewers are incredibly powerful and useful tools, but work best when supplemented with \mintinline{systemverilog}{$display} statements that have already identified where and when a simulation error occurred. Most SystemVerilog in industry designs is full of self-verifying modules by use of SystemVerilog assertions (SVA) and Universal Verification Methodology (UVM). Note that as of 9/10/23, since there is poor SVA and UVM support in open-source tools, many open-source projects have success using \mintinline{systemverilog}{`ifdef} macros to disable UVM and SVA calls on a per-tool basis, or projects only may use a subset of the features which are supported, or the projects resort to a basic always blocks instead. [fig] But no matter the specific implementation, in-module verification is a valuable design practice to teach students. In ECE 154A, ECE 154B, and ECE 152A, students were often required to design modules that incorporated simulation-only logic to test basic functionality. By adopting these universal standards, Verilog education becomes better aligned with real-world methodologies for enhanced scalability and proficiency. +The final design strategy for promoting code scalability is to promote in-module verification. Waveform viewers are incredibly powerful and useful tools, but work best when supplemented with \mintinline{systemverilog}{$display} statements that have already identified where and when a simulation error occurred. Most SystemVerilog in industry designs is full of self-verifying modules by use of SystemVerilog assertions (SVA) and Universal Verification Methodology (UVM). Note that as of 9/10/23, since there is poor SVA and UVM support in open-source tools, many open-source projects have success using \mintinline{systemverilog}{`ifdef} macros to disable UVM and SVA calls on a per-tool basis, or projects only may use a subset of the features which are supported, or the projects resort to a basic \mintinline{systemverilog}{always} blocks instead. [fig] But no matter the specific implementation, in-module verification is a valuable design practice to teach students. In ECE 154A, ECE 154B, and ECE 152A, students were often required to design modules that incorporated simulation-only logic to test basic functionality. By adopting these universal standards, Verilog education becomes better aligned with real-world methodologies for enhanced scalability and proficiency. diff --git a/tex/chapters/6_autograders.tex b/tex/chapters/6_autograders.tex index 5518385..19626ef 100644 --- a/tex/chapters/6_autograders.tex +++ b/tex/chapters/6_autograders.tex @@ -9,6 +9,7 @@ \section{Autograders offer instant, high-quality feedback.} Students are empowered to submit their code multiple times, enabling them to refine their solutions and learn from their mistakes in real time. This back-and-forth approach ensures that students can practice a Verilog concept and receive as much help as they need until they pass all the instructor-defined tests. Autograders fall under the educational approach known as ``Ungrading,'' where the emphasis shifts strongly toward providing valuable feedback over assigning traditional grades. In the autograders that I set up, it's worth noting that a significant majority of students eventually achieve a 100\% score by the assignment deadline. This phenomenon essentially transforms the grading system into a confidence-building mechanism rather than a competitive ranking system. Ungrading has been shown to help students by reducing stress, inspiring creativity, and encouraging healthy risk taking. [ref] However, arguably Ungrading's largest downside is that the instructor may not have time to provide personalized feedback to all students. [ref] Fortunately, an intrinsic attribute of software, (such as HDL implementations), is that code quality and correctness can be run with automatic, subjective computer algorithms. Therefore, by implementing autograders, Verilog educators can tap into this pedagogical insight extremely easily, offering students a more effective way to grasp digital design principles. \section{Autograders can run remotely without complex local-setup.} +\label{section:complex_tool_setups} When instructing students on crafting Verilog code that maintains accurate synthesizability across various platforms, it is essential to follow the industry standard of verifying a design with a wide selection of tools. Autograders streamline this process, making it accessible and efficient for students to perform comprehensive testing without the need for local installation. For example, the autograders that I created for ECE 152A, 154A, and 154B would consistently use anywhere from 6 to 10 different tools, sometimes requiring complex installation and setup procedures. Expecting students to complete these setup procedures is often tedious and counterproductive. Therefore, simply giving students access to a fully prepared autograder can remove the setup barrier completely. diff --git a/tex/thesis.bib b/tex/thesis.bib index f79c930..bafcae1 100644 --- a/tex/thesis.bib +++ b/tex/thesis.bib @@ -196,6 +196,15 @@ @article{1800-2017 note = {\doi{10.1109/IEEESTD.2018.8299595}} } +@article{1364.1-2005, + author = {IEEE}, + journal = {IEC 62142-2005 First edition 2005-06 IEEE Std 1364.1}, + title = {IEC/IEEE International Standard - Verilog(R) Register Transfer Level Synthesis}, + year = {2005}, + doi = {10.1109/IEEESTD.2005.339572}, + note = {\doi{10.1109/IEEESTD.2005.339572}} +} + @misc{DigitalJSOnline, author = {Marek Materzok}, title = {{D}igital{J}{S} {O}nline}, @@ -209,3 +218,32 @@ @misc{labsWithCVA6 howpublished = {\url{https://github.com/sifferman/labs-with-cva6}}, note = {[Accessed 18-09-2023]}, } + +@misc{lowRISCstyleguides, + author = {lowRISC}, + title = {low{R}{I}{S}{C} {S}tyle {G}uides}, + howpublished = {\url{https://github.com/lowRISC/style-guides/tree/master}}, + note = {[Accessed 19-09-2023]}, +} + +@misc{BSGstyleguide, + author = {Taylor, Michael and {The Bespoke Silicon Group}}, + title = {{B}{S}{G} {S}ystem{V}erilog {C}oding {S}tandards}, + howpublished = {\url{https://docs.google.com/document/d/1xA5XUzBtz_D6aSyIBQUwFk_kSUdckrfxa2uzGjMgmCU/}}, + note = {[Accessed 19-09-2023]}, +} + +@misc{asicworld, + author = {Deepak Kumar Tala and {ASIC World}}, + title = {{V}erilog {E}xamples}, + howpublished = {\url{https://www.asic-world.com/examples/verilog/}}, + year = {2014}, + note = {[Accessed 19-09-2023]}, +} + +@misc{ChipDev, + author = {ChipDev}, + title = {{C}hip{D}ev}, + howpublished = {\url{https://chipdev.io/}}, + note = {[Accessed 19-09-2023]}, +} diff --git a/tex/thesis.tex b/tex/thesis.tex index 11c7766..623e662 100644 --- a/tex/thesis.tex +++ b/tex/thesis.tex @@ -6,12 +6,13 @@ \usepackage[lofdepth,lotdepth,caption=false]{subfig} \usepackage{fancyhdr} \usepackage[hyphens]{url} -\usepackage{hyperref} -\usepackage{amsmath, amssymb, graphicx} -\usepackage{xspace} -\usepackage{braket} -\usepackage{color} -\usepackage{setspace} +% \usepackage{hyperref} +\usepackage{graphicx} +% \usepackage{amsmath, amssymb} +% \usepackage{xspace} +% \usepackage{braket} +% \usepackage{color} +% \usepackage{setspace} \usepackage{titlesec} \usepackage{soul} \usepackage[outputdir=build]{minted}