Skip to content

Commit

Permalink
Updated reference locations in base sql file
Browse files Browse the repository at this point in the history
  • Loading branch information
willjohnsonk committed Sep 3, 2024
1 parent 4f3d360 commit e4a709f
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions configuration/mysql/garage_port_drayage/port_drayage.sql
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,7 @@ CREATE TABLE `first_action` (
) ENGINE=InnoDB DEFAULT CHARSET=latin1;

LOCK TABLES `first_action` WRITE;
INSERT INTO `first_action` VALUES ('C1T-1','NULL',-1.4,-1.4,'ENTER_STAGING_AREA','one','two');
INSERT INTO `first_action` VALUES ('C1T-1','NULL',-2.3,0.7,'ENTER_STAGING_AREA','one','two');
UNLOCK TABLES;

--
Expand All @@ -45,5 +45,5 @@ CREATE TABLE `freight` (
) ENGINE=InnoDB DEFAULT CHARSET=latin1;

LOCK TABLES `freight` WRITE;
INSERT INTO `freight` VALUES ('C1T-1','NULL',-2.4,-2.4,'EXIT_PORT','zero','one'),('C1T-1','NULL',-1.4,-1.4,'ENTER_STAGING_AREA','one','two'),('C1T-1','CARGO_A',-0.4,-0.4,'PICKUP','two','three'),('C1T-1','CARGO_A',-3.4,-1.4,'EXIT_STAGING_AREA','three','four'),('C1T-1','CARGO_A',-3.4,-3.4,'ENTER_PORT','four','five'),('C1T-1','CARGO_A',-1.4,-6.4,'DROPOFF','five','six'),('C1T-1','CARGO_B',0.6,-6.4,'PICKUP','six','seven'),('C1T-1','CARGO_B',2.6,-5.4,'PORT_CHECKPOINT','seven','eight'),('C1T-1','CARGO_B',-2.4,-2.4,'EXIT_PORT','eight','nine');
INSERT INTO `freight` VALUES ('C1T-1','NULL',-0.4,-0.4,'EXIT_PORT','zero','one'),('C1T-1','NULL',-2.3,0.7,'ENTER_STAGING_AREA','one','two'),('C1T-1','CARGO_A',-3.4,-1.4,'PICKUP','two','three'),('C1T-1','CARGO_A',-3.4,-3.4,'EXIT_STAGING_AREA','three','four'),('C1T-1','CARGO_A',-3.4,-5.4,'ENTER_PORT','four','five'),('C1T-1','CARGO_A',-0.4,-6.4,'DROPOFF','five','six'),('C1T-1','CARGO_B',2.6,-4.7,'PICKUP','six','seven'),('C1T-1','CARGO_B',0.4,-3.4,'PORT_CHECKPOINT','seven','eight'),('C1T-1','CARGO_B',-0.3,0.2,'EXIT_PORT','eight','nine');
UNLOCK TABLES;

0 comments on commit e4a709f

Please sign in to comment.