From c25c85b428edbedee12116b875b3ae926510beea Mon Sep 17 00:00:00 2001 From: Vinnie Frano Date: Thu, 28 Mar 2024 19:18:28 -0500 Subject: [PATCH] files --- LICENSE_CC | 396 + LICENSE_MIT | 21 + assets/pcb/anglerfish.kicad_pcb | 35543 ++++++++++++++++++++++++++++++ assets/pcb/anglerfish.kicad_prl | 83 + assets/pcb/anglerfish.kicad_pro | 608 + assets/pcb/fp-lib-table | 4 + 6 files changed, 36655 insertions(+) create mode 100644 LICENSE_CC create mode 100644 LICENSE_MIT create mode 100644 assets/pcb/anglerfish.kicad_pcb create mode 100644 assets/pcb/anglerfish.kicad_prl create mode 100644 assets/pcb/anglerfish.kicad_pro create mode 100644 assets/pcb/fp-lib-table diff --git a/LICENSE_CC b/LICENSE_CC new file mode 100644 index 0000000..da6ab6c --- /dev/null +++ b/LICENSE_CC @@ -0,0 +1,396 @@ +Attribution 4.0 International + +======================================================================= + +Creative Commons Corporation ("Creative Commons") is not a law firm and +does not provide legal services or legal advice. Distribution of +Creative Commons public licenses does not create a lawyer-client or +other relationship. Creative Commons makes its licenses and related +information available on an "as-is" basis. Creative Commons gives no +warranties regarding its licenses, any material licensed under their +terms and conditions, or any related information. Creative Commons +disclaims all liability for damages resulting from their use to the +fullest extent possible. + +Using Creative Commons Public Licenses + +Creative Commons public licenses provide a standard set of terms and +conditions that creators and other rights holders may use to share +original works of authorship and other material subject to copyright +and certain other rights specified in the public license below. The +following considerations are for informational purposes only, are not +exhaustive, and do not form part of our licenses. + + Considerations for licensors: Our public licenses are + intended for use by those authorized to give the public + permission to use material in ways otherwise restricted by + copyright and certain other rights. Our licenses are + irrevocable. Licensors should read and understand the terms + and conditions of the license they choose before applying it. + Licensors should also secure all rights necessary before + applying our licenses so that the public can reuse the + material as expected. Licensors should clearly mark any + material not subject to the license. This includes other CC- + licensed material, or material used under an exception or + limitation to copyright. More considerations for licensors: + wiki.creativecommons.org/Considerations_for_licensors + + Considerations for the public: By using one of our public + licenses, a licensor grants the public permission to use the + licensed material under specified terms and conditions. If + the licensor's permission is not necessary for any reason--for + example, because of any applicable exception or limitation to + copyright--then that use is not regulated by the license. Our + licenses grant only permissions under copyright and certain + other rights that a licensor has authority to grant. Use of + the licensed material may still be restricted for other + reasons, including because others have copyright or other + rights in the material. A licensor may make special requests, + such as asking that all changes be marked or described. + Although not required by our licenses, you are encouraged to + respect those requests where reasonable. More considerations + for the public: + wiki.creativecommons.org/Considerations_for_licensees + +======================================================================= + +Creative Commons Attribution 4.0 International Public License + +By exercising the Licensed Rights (defined below), You accept and agree +to be bound by the terms and conditions of this Creative Commons +Attribution 4.0 International Public License ("Public License"). To the +extent this Public License may be interpreted as a contract, You are +granted the Licensed Rights in consideration of Your acceptance of +these terms and conditions, and the Licensor grants You such rights in +consideration of benefits the Licensor receives from making the +Licensed Material available under these terms and conditions. + + +Section 1 -- Definitions. + + a. Adapted Material means material subject to Copyright and Similar + Rights that is derived from or based upon the Licensed Material + and in which the Licensed Material is translated, altered, + arranged, transformed, or otherwise modified in a manner requiring + permission under the Copyright and Similar Rights held by the + Licensor. For purposes of this Public License, where the Licensed + Material is a musical work, performance, or sound recording, + Adapted Material is always produced where the Licensed Material is + synched in timed relation with a moving image. + + b. Adapter's License means the license You apply to Your Copyright + and Similar Rights in Your contributions to Adapted Material in + accordance with the terms and conditions of this Public License. + + c. Copyright and Similar Rights means copyright and/or similar rights + closely related to copyright including, without limitation, + performance, broadcast, sound recording, and Sui Generis Database + Rights, without regard to how the rights are labeled or + categorized. For purposes of this Public License, the rights + specified in Section 2(b)(1)-(2) are not Copyright and Similar + Rights. + + d. Effective Technological Measures means those measures that, in the + absence of proper authority, may not be circumvented under laws + fulfilling obligations under Article 11 of the WIPO Copyright + Treaty adopted on December 20, 1996, and/or similar international + agreements. + + e. Exceptions and Limitations means fair use, fair dealing, and/or + any other exception or limitation to Copyright and Similar Rights + that applies to Your use of the Licensed Material. + + f. Licensed Material means the artistic or literary work, database, + or other material to which the Licensor applied this Public + License. + + g. Licensed Rights means the rights granted to You subject to the + terms and conditions of this Public License, which are limited to + all Copyright and Similar Rights that apply to Your use of the + Licensed Material and that the Licensor has authority to license. + + h. Licensor means the individual(s) or entity(ies) granting rights + under this Public License. + + i. Share means to provide material to the public by any means or + process that requires permission under the Licensed Rights, such + as reproduction, public display, public performance, distribution, + dissemination, communication, or importation, and to make material + available to the public including in ways that members of the + public may access the material from a place and at a time + individually chosen by them. + + j. Sui Generis Database Rights means rights other than copyright + resulting from Directive 96/9/EC of the European Parliament and of + the Council of 11 March 1996 on the legal protection of databases, + as amended and/or succeeded, as well as other essentially + equivalent rights anywhere in the world. + + k. You means the individual or entity exercising the Licensed Rights + under this Public License. Your has a corresponding meaning. + + +Section 2 -- Scope. + + a. License grant. + + 1. Subject to the terms and conditions of this Public License, + the Licensor hereby grants You a worldwide, royalty-free, + non-sublicensable, non-exclusive, irrevocable license to + exercise the Licensed Rights in the Licensed Material to: + + a. reproduce and Share the Licensed Material, in whole or + in part; and + + b. produce, reproduce, and Share Adapted Material. + + 2. Exceptions and Limitations. For the avoidance of doubt, where + Exceptions and Limitations apply to Your use, this Public + License does not apply, and You do not need to comply with + its terms and conditions. + + 3. Term. The term of this Public License is specified in Section + 6(a). + + 4. Media and formats; technical modifications allowed. The + Licensor authorizes You to exercise the Licensed Rights in + all media and formats whether now known or hereafter created, + and to make technical modifications necessary to do so. The + Licensor waives and/or agrees not to assert any right or + authority to forbid You from making technical modifications + necessary to exercise the Licensed Rights, including + technical modifications necessary to circumvent Effective + Technological Measures. For purposes of this Public License, + simply making modifications authorized by this Section 2(a) + (4) never produces Adapted Material. + + 5. Downstream recipients. + + a. Offer from the Licensor -- Licensed Material. Every + recipient of the Licensed Material automatically + receives an offer from the Licensor to exercise the + Licensed Rights under the terms and conditions of this + Public License. + + b. No downstream restrictions. You may not offer or impose + any additional or different terms or conditions on, or + apply any Effective Technological Measures to, the + Licensed Material if doing so restricts exercise of the + Licensed Rights by any recipient of the Licensed + Material. + + 6. No endorsement. Nothing in this Public License constitutes or + may be construed as permission to assert or imply that You + are, or that Your use of the Licensed Material is, connected + with, or sponsored, endorsed, or granted official status by, + the Licensor or others designated to receive attribution as + provided in Section 3(a)(1)(A)(i). + + b. Other rights. + + 1. Moral rights, such as the right of integrity, are not + licensed under this Public License, nor are publicity, + privacy, and/or other similar personality rights; however, to + the extent possible, the Licensor waives and/or agrees not to + assert any such rights held by the Licensor to the limited + extent necessary to allow You to exercise the Licensed + Rights, but not otherwise. + + 2. Patent and trademark rights are not licensed under this + Public License. + + 3. To the extent possible, the Licensor waives any right to + collect royalties from You for the exercise of the Licensed + Rights, whether directly or through a collecting society + under any voluntary or waivable statutory or compulsory + licensing scheme. In all other cases the Licensor expressly + reserves any right to collect such royalties. + + +Section 3 -- License Conditions. + +Your exercise of the Licensed Rights is expressly made subject to the +following conditions. + + a. Attribution. + + 1. If You Share the Licensed Material (including in modified + form), You must: + + a. retain the following if it is supplied by the Licensor + with the Licensed Material: + + i. identification of the creator(s) of the Licensed + Material and any others designated to receive + attribution, in any reasonable manner requested by + the Licensor (including by pseudonym if + designated); + + ii. a copyright notice; + + iii. a notice that refers to this Public License; + + iv. a notice that refers to the disclaimer of + warranties; + + v. a URI or hyperlink to the Licensed Material to the + extent reasonably practicable; + + b. indicate if You modified the Licensed Material and + retain an indication of any previous modifications; and + + c. indicate the Licensed Material is licensed under this + Public License, and include the text of, or the URI or + hyperlink to, this Public License. + + 2. You may satisfy the conditions in Section 3(a)(1) in any + reasonable manner based on the medium, means, and context in + which You Share the Licensed Material. For example, it may be + reasonable to satisfy the conditions by providing a URI or + hyperlink to a resource that includes the required + information. + + 3. If requested by the Licensor, You must remove any of the + information required by Section 3(a)(1)(A) to the extent + reasonably practicable. + + 4. If You Share Adapted Material You produce, the Adapter's + License You apply must not prevent recipients of the Adapted + Material from complying with this Public License. + + +Section 4 -- Sui Generis Database Rights. + +Where the Licensed Rights include Sui Generis Database Rights that +apply to Your use of the Licensed Material: + + a. for the avoidance of doubt, Section 2(a)(1) grants You the right + to extract, reuse, reproduce, and Share all or a substantial + portion of the contents of the database; + + b. if You include all or a substantial portion of the database + contents in a database in which You have Sui Generis Database + Rights, then the database in which You have Sui Generis Database + Rights (but not its individual contents) is Adapted Material; and + + c. You must comply with the conditions in Section 3(a) if You Share + all or a substantial portion of the contents of the database. + +For the avoidance of doubt, this Section 4 supplements and does not +replace Your obligations under this Public License where the Licensed +Rights include other Copyright and Similar Rights. + + +Section 5 -- Disclaimer of Warranties and Limitation of Liability. + + a. UNLESS OTHERWISE SEPARATELY UNDERTAKEN BY THE LICENSOR, TO THE + EXTENT POSSIBLE, THE LICENSOR OFFERS THE LICENSED MATERIAL AS-IS + AND AS-AVAILABLE, AND MAKES NO REPRESENTATIONS OR WARRANTIES OF + ANY KIND CONCERNING THE LICENSED MATERIAL, WHETHER EXPRESS, + IMPLIED, STATUTORY, OR OTHER. THIS INCLUDES, WITHOUT LIMITATION, + WARRANTIES OF TITLE, MERCHANTABILITY, FITNESS FOR A PARTICULAR + PURPOSE, NON-INFRINGEMENT, ABSENCE OF LATENT OR OTHER DEFECTS, + ACCURACY, OR THE PRESENCE OR ABSENCE OF ERRORS, WHETHER OR NOT + KNOWN OR DISCOVERABLE. WHERE DISCLAIMERS OF WARRANTIES ARE NOT + ALLOWED IN FULL OR IN PART, THIS DISCLAIMER MAY NOT APPLY TO YOU. + + b. TO THE EXTENT POSSIBLE, IN NO EVENT WILL THE LICENSOR BE LIABLE + TO YOU ON ANY LEGAL THEORY (INCLUDING, WITHOUT LIMITATION, + NEGLIGENCE) OR OTHERWISE FOR ANY DIRECT, SPECIAL, INDIRECT, + INCIDENTAL, CONSEQUENTIAL, PUNITIVE, EXEMPLARY, OR OTHER LOSSES, + COSTS, EXPENSES, OR DAMAGES ARISING OUT OF THIS PUBLIC LICENSE OR + USE OF THE LICENSED MATERIAL, EVEN IF THE LICENSOR HAS BEEN + ADVISED OF THE POSSIBILITY OF SUCH LOSSES, COSTS, EXPENSES, OR + DAMAGES. WHERE A LIMITATION OF LIABILITY IS NOT ALLOWED IN FULL OR + IN PART, THIS LIMITATION MAY NOT APPLY TO YOU. + + c. The disclaimer of warranties and limitation of liability provided + above shall be interpreted in a manner that, to the extent + possible, most closely approximates an absolute disclaimer and + waiver of all liability. + + +Section 6 -- Term and Termination. + + a. This Public License applies for the term of the Copyright and + Similar Rights licensed here. However, if You fail to comply with + this Public License, then Your rights under this Public License + terminate automatically. + + b. Where Your right to use the Licensed Material has terminated under + Section 6(a), it reinstates: + + 1. automatically as of the date the violation is cured, provided + it is cured within 30 days of Your discovery of the + violation; or + + 2. upon express reinstatement by the Licensor. + + For the avoidance of doubt, this Section 6(b) does not affect any + right the Licensor may have to seek remedies for Your violations + of this Public License. + + c. For the avoidance of doubt, the Licensor may also offer the + Licensed Material under separate terms or conditions or stop + distributing the Licensed Material at any time; however, doing so + will not terminate this Public License. + + d. Sections 1, 5, 6, 7, and 8 survive termination of this Public + License. + + +Section 7 -- Other Terms and Conditions. + + a. The Licensor shall not be bound by any additional or different + terms or conditions communicated by You unless expressly agreed. + + b. Any arrangements, understandings, or agreements regarding the + Licensed Material not stated herein are separate from and + independent of the terms and conditions of this Public License. + + +Section 8 -- Interpretation. + + a. For the avoidance of doubt, this Public License does not, and + shall not be interpreted to, reduce, limit, restrict, or impose + conditions on any use of the Licensed Material that could lawfully + be made without permission under this Public License. + + b. To the extent possible, if any provision of this Public License is + deemed unenforceable, it shall be automatically reformed to the + minimum extent necessary to make it enforceable. If the provision + cannot be reformed, it shall be severed from this Public License + without affecting the enforceability of the remaining terms and + conditions. + + c. No term or condition of this Public License will be waived and no + failure to comply consented to unless expressly agreed to by the + Licensor. + + d. Nothing in this Public License constitutes or may be interpreted + as a limitation upon, or waiver of, any privileges and immunities + that apply to the Licensor or You, including from the legal + processes of any jurisdiction or authority. + + +======================================================================= + +Creative Commons is not a party to its public +licenses. Notwithstanding, Creative Commons may elect to apply one of +its public licenses to material it publishes and in those instances +will be considered the “Licensor.” The text of the Creative Commons +public licenses is dedicated to the public domain under the CC0 Public +Domain Dedication. Except for the limited purpose of indicating that +material is shared under a Creative Commons public license or as +otherwise permitted by the Creative Commons policies published at +creativecommons.org/policies, Creative Commons does not authorize the +use of the trademark "Creative Commons" or any other trademark or logo +of Creative Commons without its prior written consent including, +without limitation, in connection with any unauthorized modifications +to any of its public licenses or any other arrangements, +understandings, or agreements concerning use of licensed material. For +the avoidance of doubt, this paragraph does not form part of the +public licenses. + +Creative Commons may be contacted at creativecommons.org. + diff --git a/LICENSE_MIT b/LICENSE_MIT new file mode 100644 index 0000000..0310cfc --- /dev/null +++ b/LICENSE_MIT @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2024 Vincent Franco + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/assets/pcb/anglerfish.kicad_pcb b/assets/pcb/anglerfish.kicad_pcb new file mode 100644 index 0000000..f1accae --- /dev/null +++ b/assets/pcb/anglerfish.kicad_pcb @@ -0,0 +1,35543 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "A4") + (title_block + (title "anglerfish") + (date "2024-03-20") + (rev "v0.1") + (company "Vincent Franco") + ) + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions no) + (usegerberattributes yes) + (usegerberadvancedattributes yes) + (creategerberjobfile yes) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk no) + (outputformat 1) + (mirror no) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + (net 0 "") + (net 1 "COL0") + (net 2 "Net-(D1-A)") + (net 3 "COL1") + (net 4 "Net-(D2-A)") + (net 5 "COL2") + (net 6 "Net-(D3-A)") + (net 7 "COL3") + (net 8 "Net-(D4-A)") + (net 9 "Net-(D5-A)") + (net 10 "Net-(D6-A)") + (net 11 "Net-(D7-A)") + (net 12 "Net-(D8-A)") + (net 13 "Net-(D9-A)") + (net 14 "Net-(D10-A)") + (net 15 "Net-(D11-A)") + (net 16 "Net-(D12-A)") + (net 17 "unconnected-(U1-P0.06-Pad1)") + (net 18 "Net-(D14-A)") + (net 19 "Net-(D15-A)") + (net 20 "Net-(D16-A)") + (net 21 "Net-(D17-A)") + (net 22 "ROW0") + (net 23 "ROW1") + (net 24 "ROW2") + (net 25 "ROW3") + (net 26 "BATTN") + (net 27 "BATTP") + (net 28 "unconnected-(U1-P0.08-Pad2)") + (net 29 "RSTG") + (net 30 "RSTR") + (net 31 "unconnected-(J2-Pin_4-Pad4)") + (net 32 "TPCLK") + (net 33 "unconnected-(U1-P1.04-LF-Pad11)") + (net 34 "Net-(D13-A)") + (net 35 "unconnected-(U1-P1.01-LF-Pad25)") + (net 36 "unconnected-(U1-P1.02-LF-Pad26)") + (net 37 "unconnected-(U1-P1-07-LF-Pad27)") + (net 38 "COL4") + (net 39 "TPGND") + (net 40 "unconnected-(J2-Pin_9-Pad9)") + (net 41 "TPRST") + (net 42 "TPDATA") + (net 43 "TPVCC") + (net 44 "unconnected-(U1-P0.20-Pad6)") + (net 45 "unconnected-(U1-P0.22-Pad7)") + (net 46 "unconnected-(U1-P0.17-Pad5)") + (footprint "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (layer "F.Cu") + (uuid "042500a2-8634-4993-b9e5-0fd554d1e87a") + (at 100.8 46.1 180) + (descr "Open Source Hardware Logo") + (tags "Logo OSHW") + (property "Reference" "REF**" + (at 0 0 180) + (layer "F.SilkS") + (hide yes) + (uuid "fd76830b-89be-4be3-95ee-ab5caf17f8b5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "OSHW-Logo_5.7x6mm_SilkScreen" + (at 0.75 0 180) + (layer "F.Fab") + (hide yes) + (uuid "60ebf38d-a479-4bd9-a16b-2cd5226c585f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "80fb9030-d9e4-492a-a687-7e78549d527f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d7634cf2-8fbb-4f8d-83b5-403e5c99d0e4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c015f38a-a583-4aac-b399-04898ce02671") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.79946 1.45803) (xy 1.842711 1.471245) (xy 1.870558 1.487941) (xy 1.879629 1.501145) (xy 1.877132 1.516797) + (xy 1.860931 1.541385) (xy 1.847233 1.558799) (xy 1.81899 1.590283) (xy 1.797773 1.603529) (xy 1.779687 1.602663) + (xy 1.726036 1.58901) (xy 1.68663 1.58963) (xy 1.654632 1.605104) (xy 1.643888 1.614163) (xy 1.609506 1.646025) + (xy 1.609507 2.062178) (xy 1.471188 2.062179) (xy 1.471188 1.458612) (xy 1.540346 1.458615) (xy 1.581868 1.460254) + (xy 1.603291 1.466087) (xy 1.609502 1.477464) (xy 1.609506 1.477797) (xy 1.612442 1.489713) (xy 1.625701 1.488159) + (xy 1.644084 1.479563) (xy 1.682046 1.463568) (xy 1.712873 1.453946) (xy 1.752538 1.451479) (xy 1.79946 1.45803) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f101f21a-5b6c-44db-873a-0815a62881a9") + ) + (fp_poly + (pts + (xy 1.635253 2.401486) (xy 1.683595 2.411015) (xy 1.711114 2.425125) (xy 1.740064 2.448568) (xy 1.698878 2.500572) + (xy 1.673482 2.532064) (xy 1.656237 2.54743) (xy 1.639102 2.549776) (xy 1.614027 2.542217) (xy 1.602255 2.537942) + (xy 1.554271 2.531633) (xy 1.510326 2.545155) (xy 1.478058 2.575712) (xy 1.47282 2.58545) (xy 1.467113 2.61126) + (xy 1.462706 2.658817) (xy 1.45981 2.724757) (xy 1.458633 2.80571) (xy 1.458617 2.817226) (xy 1.458614 3.017822) + (xy 1.320299 3.017822) (xy 1.320297 2.401681) (xy 1.389456 2.401683) (xy 1.429333 2.402725) (xy 1.450105 2.407359) + (xy 1.457789 2.417848) (xy 1.458616 2.427747) (xy 1.458614 2.453807) (xy 1.491748 2.427745) (xy 1.529736 2.409967) + (xy 1.580768 2.401175) (xy 1.635253 2.401486) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "27f42311-dabb-4333-af03-8e0448289e06") + ) + (fp_poly + (pts + (xy -0.993356 2.40302) (xy -0.974537 2.40866) (xy -0.968474 2.421055) (xy -0.968218 2.426647) (xy -0.967127 2.442231) + (xy -0.959632 2.444676) (xy -0.939383 2.433993) (xy -0.927352 2.426696) (xy -0.889401 2.411065) + (xy -0.844073 2.403335) (xy -0.796545 2.402739) (xy -0.751995 2.408514) (xy -0.715601 2.419886) + (xy -0.692545 2.436088) (xy -0.687996 2.456355) (xy -0.690291 2.461843) (xy -0.70702 2.484626) (xy -0.732961 2.512648) + (xy -0.737654 2.517175) (xy -0.762381 2.538006) (xy -0.78372 2.544733) (xy -0.813556 2.540037) (xy -0.825509 2.536919) + (xy -0.862705 2.529423) (xy -0.88886 2.532794) (xy -0.910946 2.544681) (xy -0.931179 2.560633) (xy -0.946078 2.580698) + (xy -0.956436 2.6087) (xy -0.963029 2.648467) (xy -0.966649 2.703823) (xy -0.968077 2.778593) (xy -0.968219 2.823742) + (xy -0.968218 3.017822) (xy -1.09396 3.017822) (xy -1.093959 2.401681) (xy -1.031089 2.401683) (xy -0.993356 2.40302) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c50c90ec-88eb-4e03-aa8f-ec01a353746c") + ) + (fp_poly + (pts + (xy 0.993368 1.65434) (xy 0.994553 1.746565) (xy 0.998898 1.816612) (xy 1.00756 1.867379) (xy 1.021703 1.901771) + (xy 1.0425 1.922679) (xy 1.07111 1.933) (xy 1.106535 1.935636) (xy 1.143636 1.932682) (xy 1.171818 1.921887) + (xy 1.192241 1.900361) (xy 1.206077 1.865199) (xy 1.214489 1.813511) (xy 1.218643 1.742394) (xy 1.219706 1.654343) + (xy 1.219705 1.458614) (xy 1.358022 1.458614) (xy 1.35802 2.062181) (xy 1.288861 2.062179) (xy 1.247172 2.060491) + (xy 1.225701 2.054556) (xy 1.219703 2.043293) (xy 1.216093 2.03326) (xy 1.201712 2.035382) (xy 1.172736 2.04958) + (xy 1.106321 2.071481) (xy 1.035875 2.069926) (xy 0.968377 2.046147) (xy 0.936233 2.027362) (xy 0.911718 2.007023) + (xy 0.893802 1.981572) (xy 0.88148 1.947458) (xy 0.873725 1.901121) (xy 0.869516 1.839007) (xy 0.867841 1.757563) + (xy 0.867624 1.694578) (xy 0.867625 1.458613) (xy 0.993366 1.458615) (xy 0.993368 1.65434) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d0630ffd-361e-479a-8dfa-e573b3bac981") + ) + (fp_poly + (pts + (xy -0.754011 1.469003) (xy -0.722716 1.483949) (xy -0.69241 1.505542) (xy -0.669318 1.530391) (xy -0.652499 1.562086) + (xy -0.641006 1.604214) (xy -0.633889 1.66036) (xy -0.630209 1.734106) (xy -0.629006 1.829045) (xy -0.628989 1.838985) + (xy -0.628713 2.062177) (xy -0.767029 2.062181) (xy -0.767031 1.856417) (xy -0.767128 1.780189) + (xy -0.767807 1.724939) (xy -0.769652 1.6865) (xy -0.773231 1.660705) (xy -0.779132 1.643384) (xy -0.787927 1.630368) + (xy -0.800182 1.617507) (xy -0.843047 1.589873) (xy -0.889841 1.584747) (xy -0.934423 1.602216) + (xy -0.949928 1.615221) (xy -0.961309 1.627445) (xy -0.969479 1.640541) (xy -0.974975 1.658618) + (xy -0.978322 1.685786) (xy -0.980053 1.72617) (xy -0.980699 1.783879) (xy -0.980794 1.854133) (xy -0.980793 2.062181) + (xy -1.119108 2.06218) (xy -1.119111 1.458613) (xy -1.04995 1.458614) (xy -1.008429 1.460257) (xy -0.987005 1.466087) + (xy -0.980794 1.47746) (xy -0.980793 1.477797) (xy -0.97791 1.488938) (xy -0.965199 1.487674) (xy -0.939926 1.475436) + (xy -0.882605 1.457424) (xy -0.817035 1.455422) (xy -0.754011 1.469003) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "4017a97f-27ac-4316-9a8a-a30cae655a05") + ) + (fp_poly + (pts + (xy 2.217226 1.46388) (xy 2.290081 1.49483) (xy 2.313027 1.509895) (xy 2.342352 1.533049) (xy 2.360763 1.551254) + (xy 2.363963 1.557184) (xy 2.354935 1.570338) (xy 2.331836 1.592665) (xy 2.313343 1.608252) (xy 2.262726 1.648926) + (xy 2.22276 1.615295) (xy 2.191874 1.593582) (xy 2.161758 1.586089) (xy 2.127292 1.58792) (xy 2.072563 1.601527) + (xy 2.034886 1.629772) (xy 2.011991 1.675433) (xy 2.001597 1.74129) (xy 2.001594 1.741332) (xy 2.002495 1.814941) + (xy 2.016464 1.868946) (xy 2.044328 1.905716) (xy 2.063325 1.918168) (xy 2.113776 1.933671) (xy 2.167663 1.933683) + (xy 2.214546 1.918636) (xy 2.225644 1.911285) (xy 2.253475 1.89251) (xy 2.275236 1.889434) (xy 2.298703 1.90341) + (xy 2.324648 1.928508) (xy 2.365715 1.970882) (xy 2.320121 2.008463) (xy 2.249676 2.05088) (xy 2.170232 2.071784) + (xy 2.087217 2.07027) (xy 2.032694 2.056411) (xy 1.96897 2.022135) (xy 1.918004 1.968213) (xy 1.894852 1.93015) + (xy 1.876099 1.875537) (xy 1.866715 1.806369) (xy 1.866644 1.731406) (xy 1.875824 1.659407) (xy 1.894199 1.599137) + (xy 1.897096 1.592957) (xy 1.939952 1.532351) (xy 1.997977 1.488223) (xy 2.06659 1.461491) (xy 2.141202 1.453073) + (xy 2.217226 1.46388) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f0dffbdf-0b20-4e0d-ad6b-d219a50d98a7") + ) + (fp_poly + (pts + (xy 0.610763 1.466057) (xy 0.674363 1.500692) (xy 0.724123 1.555372) (xy 0.747568 1.599842) (xy 0.757636 1.639122) + (xy 0.764154 1.695116) (xy 0.766951 1.759621) (xy 0.765836 1.824429) (xy 0.760626 1.881334) (xy 0.75454 1.911726) + (xy 0.734016 1.953306) (xy 0.698464 1.997466) (xy 0.655619 2.036085) (xy 0.613212 2.061032) (xy 0.612178 2.061429) + (xy 0.559553 2.072329) (xy 0.497188 2.072599) (xy 0.437924 2.062676) (xy 0.415038 2.05472) (xy 0.356102 2.0213) + (xy 0.31389 1.977511) (xy 0.286156 1.919538) (xy 0.270651 1.843563) (xy 0.267143 1.803771) (xy 0.267592 1.753764) + (xy 0.402376 1.753766) (xy 0.406917 1.826734) (xy 0.419986 1.882333) (xy 0.440759 1.917862) (xy 0.455551 1.928019) + (xy 0.493463 1.935101) (xy 0.538527 1.933007) (xy 0.577489 1.922811) (xy 0.587703 1.917203) (xy 0.614657 1.884537) + (xy 0.632451 1.834545) (xy 0.640024 1.773705) (xy 0.636325 1.708497) (xy 0.628056 1.669255) (xy 0.604321 1.623804) + (xy 0.56685 1.595395) (xy 0.52172 1.585573) (xy 0.47501 1.595887) (xy 0.439133 1.621113) (xy 0.420279 1.641925) + (xy 0.40927 1.662439) (xy 0.404026 1.690203) (xy 0.402449 1.732762) (xy 0.402376 1.753766) (xy 0.267592 1.753764) + (xy 0.268092 1.697579) (xy 0.285387 1.610503) (xy 0.319028 1.542532) (xy 0.369018 1.493666) (xy 0.435358 1.463897) + (xy 0.449603 1.460449) (xy 0.535209 1.452346) (xy 0.610763 1.466057) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "951c7e02-6eb3-44cd-8fbc-fa25daeebb7a") + ) + (fp_poly + (pts + (xy 0.281524 2.404237) (xy 0.331257 2.407969) (xy 0.461291 2.797773) (xy 0.481678 2.728614) (xy 0.493946 2.685874) + (xy 0.510084 2.628116) (xy 0.527512 2.564623) (xy 0.536725 2.530568) (xy 0.571387 2.401684) (xy 0.714391 2.401683) + (xy 0.671644 2.536858) (xy 0.650596 2.603343) (xy 0.625169 2.683539) (xy 0.59861 2.767192) (xy 0.574903 2.841784) + (xy 0.520901 3.011535) (xy 0.462599 3.015329) (xy 0.404295 3.019122) (xy 0.372679 2.914734) (xy 0.353183 2.849888) + (xy 0.331904 2.7784) (xy 0.313308 2.715263) (xy 0.312576 2.712748) (xy 0.298684 2.669967) (xy 0.286429 2.640779) + (xy 0.277847 2.629741) (xy 0.276082 2.631019) (xy 0.269891 2.64813) (xy 0.258128 2.684786) (xy 0.242225 2.736378) + (xy 0.223614 2.798292) (xy 0.213543 2.832351) (xy 0.159007 3.017822) (xy 0.043263 3.017822) (xy -0.049265 2.72547) + (xy -0.075257 2.643461) (xy -0.098934 2.568987) (xy -0.11918 2.505544) (xy -0.134873 2.456633) (xy -0.144898 2.425749) + (xy -0.147945 2.416727) (xy -0.145533 2.407487) (xy -0.126592 2.40344) (xy -0.087177 2.403848) (xy -0.081006 2.404153) + (xy -0.007916 2.407971) (xy 0.039956 2.58401) (xy 0.057552 2.648211) (xy 0.073277 2.704649) (xy 0.085746 2.748422) + (xy 0.093574 2.774633) (xy 0.09502 2.778902) (xy 0.101012 2.773989) (xy 0.113103 2.748532) (xy 0.129894 2.705999) + (xy 0.150003 2.64985) (xy 0.167004 2.59913) (xy 0.231795 2.400502) (xy 0.281524 2.404237) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "715ba7ba-517d-498b-a9b1-dd3ab2ce1148") + ) + (fp_poly + (pts + (xy -0.201188 3.017821) (xy -0.270345 3.017823) (xy -0.31049 3.016644) (xy -0.331391 3.011771) (xy -0.338922 3.001187) + (xy -0.339504 2.994027) (xy -0.340774 2.979676) (xy -0.348779 2.976923) (xy -0.369813 2.985771) + (xy -0.386173 2.994029) (xy -0.448977 3.013597) (xy -0.51725 3.014727) (xy -0.572752 3.000135) (xy -0.624439 2.964877) + (xy -0.663836 2.912835) (xy -0.685413 2.85145) (xy -0.68596 2.848018) (xy -0.689168 2.81057) (xy -0.690759 2.756813) + (xy -0.690633 2.716155) (xy -0.553277 2.716153) (xy -0.550097 2.770194) (xy -0.542859 2.814732) + (xy -0.53306 2.839888) (xy -0.495988 2.874258) (xy -0.451974 2.886582) (xy -0.406584 2.876618) (xy -0.367799 2.846895) + (xy -0.353109 2.826907) (xy -0.344519 2.80305) (xy -0.340497 2.768232) (xy -0.339505 2.71593) (xy -0.341278 2.664139) + (xy -0.345963 2.618634) (xy -0.352601 2.58818) (xy -0.353709 2.585454) (xy -0.38049 2.552999) (xy -0.419579 2.535183) + (xy -0.463317 2.532304) (xy -0.504038 2.544674) (xy -0.534086 2.572595) (xy -0.537204 2.578146) + (xy -0.546963 2.612023) (xy -0.552277 2.66073) (xy -0.553277 2.716153) (xy -0.690633 2.716155) (xy -0.690566 2.695541) + (xy -0.689664 2.662565) (xy -0.683514 2.580981) (xy -0.670734 2.519728) (xy -0.649472 2.474449) + (xy -0.617876 2.44078) (xy -0.587207 2.421014) (xy -0.544353 2.40712) (xy -0.491054 2.402354) (xy -0.43648 2.406238) + (xy -0.389794 2.418283) (xy -0.365124 2.432693) (xy -0.339506 2.455877) (xy -0.339505 2.162773) + (xy -0.201187 2.162774) (xy -0.201188 3.017821) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "8f070291-9915-4e58-a66d-20886b5c9b45") + ) + (fp_poly + (pts + (xy -2.538262 1.465147) (xy -2.472481 1.49423) (xy -2.42254 1.542793) (xy -2.388374 1.61091) (xy -2.369909 1.698651) + (xy -2.368581 1.71235) (xy -2.367545 1.808941) (xy -2.380993 1.8936) (xy -2.40811 1.96222) (xy -2.422628 1.984292) + (xy -2.4732 2.031009) (xy -2.537608 2.061269) (xy -2.609667 2.073824) (xy -2.683184 2.067438) (xy -2.739072 2.047772) + (xy -2.78713 2.01463) (xy -2.826413 1.971177) (xy -2.827092 1.970158) (xy -2.843043 1.943336) (xy -2.85341 1.916368) + (xy -2.859688 1.882332) (xy -2.863374 1.834308) (xy -2.864996 1.794932) (xy -2.865672 1.759219) + (xy -2.739956 1.75922) (xy -2.738727 1.79477) (xy -2.734266 1.842094) (xy -2.726397 1.872465) (xy -2.712207 1.894072) + (xy -2.698917 1.906692) (xy -2.651801 1.93312) (xy -2.602506 1.936652) (xy -2.556593 1.917639) (xy -2.533638 1.89633) + (xy -2.517098 1.874859) (xy -2.50742 1.854313) (xy -2.503174 1.827574) (xy -2.502918 1.787521) (xy -2.50423 1.750639) + (xy -2.507043 1.697947) (xy -2.511503 1.663773) (xy -2.519546 1.641481) (xy -2.533103 1.624444) + (xy -2.543845 1.614703) (xy -2.588779 1.589123) (xy -2.637251 1.587847) (xy -2.677892 1.602999) + (xy -2.712567 1.634642) (xy -2.733224 1.68662) (xy -2.739956 1.75922) (xy -2.865672 1.759219) (xy -2.866479 1.716621) + (xy -2.863946 1.658056) (xy -2.856362 1.614009) (xy -2.842682 1.579247) (xy -2.821865 1.548551) + (xy -2.814147 1.539436) (xy -2.765889 1.49402) (xy -2.714128 1.467493) (xy -2.650828 1.456379) (xy -2.619959 1.455471) + (xy -2.538262 1.465147) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9ad3aa38-ab03-48f6-beb3-b293d37cae8a") + ) + (fp_poly + (pts + (xy 2.6779 1.456455) (xy 2.710097 1.464277) (xy 2.771826 1.492922) (xy 2.82461 1.536666) (xy 2.861141 1.589117) + (xy 2.86616 1.600893) (xy 2.873045 1.63174) (xy 2.877864 1.677371) (xy 2.879504 1.723493) (xy 2.879507 1.810694) + (xy 2.697179 1.810694) (xy 2.62198 1.810976) (xy 2.569003 1.812704) (xy 2.535324 1.817181) (xy 2.518022 1.825721) + (xy 2.514164 1.839631) (xy 2.520829 1.860222) (xy 2.532772 1.884316) (xy 2.566079 1.924523) (xy 2.612368 1.944558) + (xy 2.668944 1.943905) (xy 2.733031 1.922101) (xy 2.788416 1.895191) (xy 2.834373 1.931531) (xy 2.880335 1.967872) + (xy 2.837097 2.007818) (xy 2.779376 2.045564) (xy 2.708386 2.068321) (xy 2.63203 2.074686) (xy 2.5582 2.063269) + (xy 2.546288 2.059393) (xy 2.481399 2.025506) (xy 2.433129 1.974986) (xy 2.400465 1.906324) (xy 2.382385 1.818016) + (xy 2.382175 1.816122) (xy 2.380556 1.719878) (xy 2.3871 1.685545) (xy 2.514852 1.685542) (xy 2.526584 1.690822) + (xy 2.558438 1.694867) (xy 2.605397 1.697176) (xy 2.635154 1.697525) (xy 2.690649 1.697305) (xy 2.725345 1.695918) + (xy 2.743603 1.69225) (xy 2.749765 1.685212) (xy 2.748195 1.673689) (xy 2.746877 1.669231) (xy 2.724382 1.627355) + (xy 2.689004 1.593604) (xy 2.65778 1.578773) (xy 2.616301 1.579668) (xy 2.574267 1.598164) (xy 2.539013 1.628787) + (xy 2.517856 1.666064) (xy 2.514852 1.685542) (xy 2.3871 1.685545) (xy 2.39669 1.635229) (xy 2.428698 1.564191) + (xy 2.474699 1.508778) (xy 2.53282 1.471006) (xy 2.60118 1.452896) (xy 2.6779 1.456455) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "4d2e935f-4f52-48b3-aae9-f2d208b24405") + ) + (fp_poly + (pts + (xy 0.014017 1.456452) (xy 0.061633 1.465484) (xy 0.111035 1.484371) (xy 0.116312 1.486777) (xy 0.153775 1.506474) + (xy 0.179719 1.524779) (xy 0.188103 1.536508) (xy 0.180116 1.555631) (xy 0.160719 1.583849) (xy 0.15211 1.594384) + (xy 0.116626 1.635847) (xy 0.070884 1.608857) (xy 0.027351 1.590877) (xy -0.022949 1.581266) (xy -0.071188 1.58066) + (xy -0.108532 1.589691) (xy -0.117496 1.595326) (xy -0.134563 1.621171) (xy -0.136635 1.650942) + (xy -0.123866 1.674197) (xy -0.116312 1.678708) (xy -0.093675 1.684308) (xy -0.053885 1.690892) + (xy -0.004835 1.697181) (xy 0.004212 1.698171) (xy 0.082997 1.711798) (xy 0.140136 1.734946) (xy 0.17803 1.769752) + (xy 0.199077 1.818354) (xy 0.205635 1.877718) (xy 0.196579 1.945199) (xy 0.167164 1.998186) (xy 0.117276 2.036783) + (xy 0.0468 2.061083) (xy -0.031435 2.070667) (xy -0.095233 2.070554) (xy -0.146985 2.061846) (xy -0.18233 2.049827) + (xy -0.226983 2.028882) (xy -0.268253 2.004576) (xy -0.282921 1.993876) (xy -0.320643 1.963084) + (xy -0.275149 1.91705) (xy -0.229653 1.871013) (xy -0.177926 1.905243) (xy -0.126048 1.930951) (xy -0.07065 1.944401) + (xy -0.017396 1.945818) (xy 0.028051 1.935445) (xy 0.060016 1.913507) (xy 0.070336 1.894998) (xy 0.068789 1.865313) + (xy 0.043141 1.842614) (xy -0.00654 1.826938) (xy -0.060969 1.819694) (xy -0.144736 1.805873) (xy -0.206967 1.779796) + (xy -0.248493 1.740699) (xy -0.270145 1.68782) (xy -0.273147 1.625126) (xy -0.258329 1.559642) (xy -0.224545 1.510143) + (xy -0.171497 1.476409) (xy -0.098874 1.458207) (xy -0.045072 1.454639) (xy 0.014017 1.456452) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "eaf3cc40-8d0c-4aad-a6ad-8e0339632115") + ) + (fp_poly + (pts + (xy 2.032582 2.404971) (xy 2.092685 2.420597) (xy 2.143022 2.452848) (xy 2.167391 2.47694) (xy 2.207344 2.533897) + (xy 2.230242 2.599965) (xy 2.238108 2.681182) (xy 2.238148 2.687745) (xy 2.238218 2.753763) (xy 1.858263 2.753761) + (xy 1.866363 2.78834) (xy 1.880987 2.819659) (xy 1.906581 2.852291) (xy 1.911936 2.8575) (xy 1.957943 2.885693) + (xy 2.01041 2.890475) (xy 2.070804 2.871924) (xy 2.08104 2.866931) (xy 2.112439 2.851744) (xy 2.13347 2.843093) + (xy 2.137137 2.842295) (xy 2.149948 2.850063) (xy 2.174378 2.869072) (xy 2.18678 2.879459) (xy 2.212476 2.903321) + (xy 2.220914 2.919077) (xy 2.215057 2.933569) (xy 2.211928 2.937536) (xy 2.190725 2.95488) (xy 2.155738 2.975959) + (xy 2.131335 2.988264) (xy 2.062074 3.009945) (xy 1.985386 3.016971) (xy 1.912763 3.008647) (xy 1.892426 3.002686) + (xy 1.829474 2.968951) (xy 1.782815 2.917045) (xy 1.752173 2.84646) (xy 1.737283 2.756691) (xy 1.735647 2.709753) + (xy 1.740422 2.641415) (xy 1.860989 2.641411) (xy 1.872652 2.646465) (xy 1.903998 2.650429) (xy 1.949571 2.652768) + (xy 1.980447 2.653167) (xy 2.03598 2.652782) (xy 2.071034 2.650975) (xy 2.090261 2.646772) (xy 2.098332 2.639202) + (xy 2.0999 2.628216) (xy 2.089119 2.594382) (xy 2.06198 2.56094) (xy 2.026276 2.53527) (xy 1.99056 2.524772) + (xy 1.942048 2.534086) (xy 1.900053 2.561011) (xy 1.870935 2.599825) (xy 1.860989 2.641411) (xy 1.740422 2.641415) + (xy 1.742597 2.610235) (xy 1.764055 2.530949) (xy 1.800468 2.471262) (xy 1.852298 2.430549) (xy 1.919991 2.408179) + (xy 1.95666 2.403872) (xy 2.032582 2.404971) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "05902f66-6e66-4766-9e6f-1aa5a0729ba5") + ) + (fp_poly + (pts + (xy -1.356699 1.472614) (xy -1.344168 1.478514) (xy -1.300799 1.510283) (xy -1.25979 1.556646) (xy -1.229168 1.607695) + (xy -1.220457 1.631165) (xy -1.212511 1.673089) (xy -1.207772 1.723755) (xy -1.207201 1.744679) + (xy -1.207129 1.810693) (xy -1.587083 1.810691) (xy -1.578984 1.845271) (xy -1.559105 1.886169) + (xy -1.524347 1.921513) (xy -1.482997 1.944281) (xy -1.456649 1.94901) (xy -1.420915 1.943275) (xy -1.378282 1.928882) + (xy -1.3638 1.922263) (xy -1.310239 1.895511) (xy -1.264533 1.930376) (xy -1.238157 1.953955) (xy -1.224124 1.973417) + (xy -1.223414 1.979129) (xy -1.235953 1.992973) (xy -1.263428 2.014013) (xy -1.288367 2.030426) + (xy -1.355664 2.05993) (xy -1.43111 2.073284) (xy -1.505888 2.06981) (xy -1.565494 2.051664) (xy -1.62694 2.012782) + (xy -1.670606 1.961595) (xy -1.697926 1.895367) (xy -1.710324 1.81137) (xy -1.711421 1.772936) (xy -1.707022 1.684863) + (xy -1.706482 1.682299) (xy -1.580582 1.682301) (xy -1.577115 1.690558) (xy -1.562863 1.695111) + (xy -1.53347 1.697063) (xy -1.484574 1.697518) (xy -1.465747 1.697527) (xy -1.408468 1.696845) (xy -1.372141 1.694364) + (xy -1.352603 1.689442) (xy -1.34569 1.681436) (xy -1.345447 1.678862) (xy -1.353338 1.658425) (xy -1.373085 1.629788) + (xy -1.381575 1.619763) (xy -1.413094 1.59141) (xy -1.445949 1.580259) (xy -1.46365 1.579327) (xy -1.511537 1.59098) + (xy -1.551699 1.622285) (xy -1.577171 1.667752) (xy -1.577624 1.669234) (xy -1.580582 1.682301) + (xy -1.706482 1.682299) (xy -1.692392 1.615509) (xy -1.66604 1.560024) (xy -1.633809 1.52064) (xy -1.574215 1.477932) + (xy -1.504168 1.455109) (xy -1.429662 1.453044) (xy -1.356699 1.472614) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "47259f74-4a05-4c7b-ab69-927ae7d3c5c1") + ) + (fp_poly + (pts + (xy 1.038412 2.405418) (xy 1.091411 2.418292) (xy 1.106731 2.425107) (xy 1.136428 2.442973) (xy 1.159221 2.463094) + (xy 1.176082 2.488963) (xy 1.187998 2.524073) (xy 1.195942 2.571918) (xy 1.200894 2.635994) (xy 1.203831 2.719794) + (xy 1.204947 2.775768) (xy 1.209052 3.017823) (xy 1.138932 3.017822) (xy 1.096392 3.016039) (xy 1.074474 3.009942) + (xy 1.06881 2.999705) (xy 1.065822 2.988635) (xy 1.052452 2.990754) (xy 1.034231 2.999631) (xy 0.988626 3.013233) + (xy 0.930008 3.016899) (xy 0.868354 3.0109) (xy 0.813638 2.995521) (xy 0.808731 2.993384) (xy 0.758725 2.958255) + (xy 0.725758 2.909417) (xy 0.710587 2.852333) (xy 0.711746 2.831824) (xy 0.835508 2.831827) (xy 0.846413 2.859425) + (xy 0.878743 2.879204) (xy 0.930909 2.889817) (xy 0.958788 2.89123) (xy 1.005247 2.887618) (xy 1.036127 2.873596) + (xy 1.043661 2.866931) (xy 1.064076 2.830666) (xy 1.068812 2.797773) (xy 1.068811 2.753762) (xy 1.007512 2.753761) + (xy 0.936257 2.757396) (xy 0.886278 2.768819) (xy 0.854698 2.788824) (xy 0.847626 2.797742) (xy 0.835508 2.831827) + (xy 0.711746 2.831824) (xy 0.713972 2.792455) (xy 0.736663 2.735244) (xy 0.767624 2.69658) (xy 0.786376 2.679864) + (xy 0.804733 2.66888) (xy 0.828619 2.66218) (xy 0.863957 2.658326) (xy 0.916669 2.655873) (xy 0.937575 2.655169) + (xy 1.06881 2.650879) (xy 1.06862 2.611158) (xy 1.063537 2.569405) (xy 1.045163 2.544158) (xy 1.008037 2.52803) + (xy 1.007044 2.527744) (xy 0.954412 2.5214) (xy 0.902904 2.529685) (xy 0.86463 2.549827) (xy 0.849273 2.559772) + (xy 0.832728 2.558397) (xy 0.807275 2.543987) (xy 0.792327 2.533816) (xy 0.763092 2.512088) (xy 0.74498 2.495801) + (xy 0.742074 2.491136) (xy 0.754041 2.467006) (xy 0.789398 2.438185) (xy 0.804752 2.428462) (xy 0.848901 2.411713) + (xy 0.9084 2.402227) (xy 0.974487 2.400095) (xy 1.038412 2.405418) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "26fa39ba-a1af-4543-8653-5a70f98f3c79") + ) + (fp_poly + (pts + (xy -1.384211 2.406557) (xy -1.325054 2.422339) (xy -1.280023 2.450947) (xy -1.248246 2.488419) + (xy -1.238365 2.504411) (xy -1.231075 2.521162) (xy -1.225976 2.542592) (xy -1.222679 2.572616) + (xy -1.220799 2.615152) (xy -1.219939 2.674124) (xy -1.219706 2.753438) (xy -1.219702 2.774484) + (xy -1.219705 3.017822) (xy -1.28006 3.017824) (xy -1.318557 3.015126) (xy -1.347024 3.008294) (xy -1.354155 3.004083) + (xy -1.373652 2.996813) (xy -1.393566 3.004083) (xy -1.426352 3.013159) (xy -1.473978 3.016813) + (xy -1.526764 3.015228) (xy -1.575036 3.008588) (xy -1.603217 3.00007) (xy -1.657753 2.965062) (xy -1.691835 2.91648) + (xy -1.707159 2.851881) (xy -1.707298 2.850223) (xy -1.705955 2.821564) (xy -1.584356 2.821566) + (xy -1.573726 2.854161) (xy -1.55641 2.872505) (xy -1.521653 2.886381) (xy -1.475773 2.891917) (xy -1.428989 2.889189) + (xy -1.391514 2.878276) (xy -1.381018 2.87127) (xy -1.362668 2.838904) (xy -1.358019 2.802112) (xy -1.35802 2.753763) + (xy -1.427584 2.753762) (xy -1.493667 2.758848) (xy -1.543764 2.773263) (xy -1.574928 2.795727) + (xy -1.584356 2.821566) (xy -1.705955 2.821564) (xy -1.703985 2.779648) (xy -1.68071 2.723845) (xy -1.636947 2.681645) + (xy -1.630901 2.677809) (xy -1.604906 2.665307) (xy -1.572735 2.657739) (xy -1.527761 2.654061) + (xy -1.474331 2.653216) (xy -1.35802 2.653169) (xy -1.358017 2.604411) (xy -1.362951 2.566581) (xy -1.375542 2.541235) + (xy -1.377017 2.539887) (xy -1.405033 2.5288) (xy -1.447326 2.524503) (xy -1.494064 2.526615) (xy -1.535418 2.534756) + (xy -1.559957 2.546965) (xy -1.573254 2.556747) (xy -1.587294 2.558613) (xy -1.606671 2.5506) (xy -1.635976 2.530739) + (xy -1.679803 2.497063) (xy -1.683825 2.493909) (xy -1.681764 2.482236) (xy -1.664568 2.46282) (xy -1.638434 2.441245) + (xy -1.609552 2.423098) (xy -1.600478 2.418809) (xy -1.56738 2.410256) (xy -1.51888 2.404155) (xy -1.464696 2.401706) + (xy -1.46216 2.401703) (xy -1.384211 2.406557) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c0200364-5c66-4080-b83b-11f049e3e6a5") + ) + (fp_poly + (pts + (xy -1.90876 1.469185) (xy -1.882246 1.482283) (xy -1.849553 1.505106) (xy -1.825725 1.529996) (xy -1.809406 1.561249) + (xy -1.79924 1.603166) (xy -1.79387 1.660045) (xy -1.791945 1.736182) (xy -1.791828 1.768918) (xy -1.792162 1.840654) + (xy -1.793526 1.891929) (xy -1.7965 1.927404) (xy -1.80165 1.951762) (xy -1.809544 1.969679) (xy -1.817756 1.981901) + (xy -1.870187 2.033905) (xy -1.93193 2.065184) (xy -1.998534 2.074593) (xy -2.065558 2.06098) (xy -2.086792 2.051353) + (xy -2.137623 2.024858) (xy -2.137624 2.440052) (xy -2.100525 2.420868) (xy -2.051642 2.406023) + (xy -1.991561 2.402221) (xy -1.931564 2.409242) (xy -1.886256 2.425013) (xy -1.848675 2.455047) + (xy -1.816563 2.498022) (xy -1.81415 2.502436) (xy -1.803967 2.523221) (xy -1.79653 2.54417) (xy -1.791411 2.569547) + (xy -1.788181 2.603618) (xy -1.786414 2.65064) (xy -1.785675 2.714883) (xy -1.785542 2.787174) (xy -1.785544 3.017822) + (xy -1.92386 3.017823) (xy -1.923859 2.592532) (xy -1.962549 2.559978) (xy -2.002737 2.533939) (xy -2.040798 2.529207) + (xy -2.079068 2.541388) (xy -2.099464 2.553319) (xy -2.114642 2.570313) (xy -2.12544 2.595995) (xy -2.132684 2.633991) + (xy -2.137208 2.687926) (xy -2.139842 2.761425) (xy -2.140774 2.810347) (xy -2.14391 3.011536) (xy -2.209928 3.015337) + (xy -2.27594 3.019136) (xy -2.27594 1.77065) (xy -2.137625 1.770649) (xy -2.134099 1.840255) (xy -2.122214 1.888569) + (xy -2.10002 1.918631) (xy -2.065561 1.933473) (xy -2.030744 1.936437) (xy -1.991329 1.933028) (xy -1.965171 1.919616) + (xy -1.948815 1.901898) (xy -1.935936 1.882834) (xy -1.928271 1.861602) (xy -1.924861 1.831849) + (xy -1.924749 1.787236) (xy -1.925898 1.749882) (xy -1.928532 1.693604) (xy -1.932456 1.656658) + (xy -1.939063 1.633223) (xy -1.949751 1.61748) (xy -1.959831 1.60838) (xy -2.00197 1.588537) (xy -2.051839 1.58533) + (xy -2.080478 1.592168) (xy -2.108827 1.616462) (xy -2.12761 1.663729) (xy -2.136711 1.733622) (xy -2.137625 1.770649) + (xy -2.27594 1.77065) (xy -2.275939 1.458616) (xy -2.206782 1.458614) (xy -2.165259 1.460255) (xy -2.143838 1.466085) + (xy -2.137627 1.477462) (xy -2.137626 1.477797) (xy -2.134744 1.48894) (xy -2.122031 1.487675) (xy -2.096759 1.475431) + (xy -2.03787 1.456709) (xy -1.971615 1.454739) (xy -1.90876 1.469185) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "776b3430-d0f5-4118-993f-e050a3283970") + ) + (fp_poly + (pts + (xy 0.376964 -2.709982) (xy 0.433812 -2.408432) (xy 0.853338 -2.235488) (xy 1.104984 -2.406607) + (xy 1.175458 -2.45425) (xy 1.239164 -2.496789) (xy 1.293126 -2.532285) (xy 1.334371 -2.558788) (xy 1.359934 -2.574363) + (xy 1.366895 -2.577722) (xy 1.379437 -2.569087) (xy 1.406233 -2.545208) (xy 1.444279 -2.50914) (xy 1.49058 -2.463933) + (xy 1.542122 -2.412636) (xy 1.59591 -2.358301) (xy 1.648935 -2.303975) (xy 1.698195 -2.252703) (xy 1.740689 -2.20755) + (xy 1.773407 -2.171554) (xy 1.793351 -2.147772) (xy 1.798119 -2.13981) (xy 1.791255 -2.125135) (xy 1.772019 -2.092988) + (xy 1.74243 -2.046506) (xy 1.704511 -1.988843) (xy 1.660281 -1.923141) (xy 1.634654 -1.885664) (xy 1.587941 -1.817232) + (xy 1.546434 -1.755481) (xy 1.51214 -1.703481) (xy 1.487081 -1.664308) (xy 1.473264 -1.641035) (xy 1.471188 -1.636145) + (xy 1.475895 -1.622245) (xy 1.488724 -1.589849) (xy 1.507738 -1.543515) (xy 1.531003 -1.487793) + (xy 1.556585 -1.427243) (xy 1.582543 -1.366413) (xy 1.606952 -1.309862) (xy 1.627861 -1.262147) + (xy 1.643349 -1.227819) (xy 1.651472 -1.21143) (xy 1.651953 -1.210788) (xy 1.664707 -1.20766) (xy 1.698677 -1.200679) + (xy 1.75034 -1.190533) (xy 1.816176 -1.177908) (xy 1.892662 -1.163491) (xy 1.93729 -1.155177) (xy 2.019021 -1.139618) + (xy 2.092844 -1.124809) (xy 2.155021 -1.111564) (xy 2.201822 -1.100695) (xy 2.229509 -1.093011) + (xy 2.235075 -1.090572) (xy 2.240524 -1.074069) (xy 2.244923 -1.0368) (xy 2.248275 -0.98312) (xy 2.250574 -0.917388) + (xy 2.251832 -0.843963) (xy 2.252048 -0.767204) (xy 2.251227 -0.691468) (xy 2.24937 -0.621115) (xy 2.246484 -0.560499) + (xy 2.242565 -0.513982) (xy 2.237624 -0.485924) (xy 2.234655 -0.480083) (xy 2.216932 -0.473082) + (xy 2.179382 -0.463071) (xy 2.126962 -0.451231) (xy 2.064652 -0.438733) (xy 2.0429 -0.43469) (xy 1.938024 -0.41548) + (xy 1.85518 -0.400009) (xy 1.791628 -0.387663) (xy 1.744635 -0.377828) (xy 1.711464 -0.369886) (xy 1.689371 -0.363224) + (xy 1.675622 -0.357227) (xy 1.667484 -0.351281) (xy 1.666345 -0.350103) (xy 1.654977 -0.331174) + (xy 1.637636 -0.294329) (xy 1.61605 -0.244087) (xy 1.591954 -0.184952) (xy 1.567079 -0.121444) (xy 1.543157 -0.058068) + (xy 1.521918 0.000661) (xy 1.505097 0.050236) (xy 1.494424 0.086139) (xy 1.491628 0.103861) (xy 1.49186 0.104483) + (xy 1.501331 0.118971) (xy 1.522817 0.150846) (xy 1.554063 0.196788) (xy 1.592807 0.253484) (xy 1.636794 0.317616) + (xy 1.649319 0.33584) (xy 1.693984 0.401916) (xy 1.733289 0.462201) (xy 1.765087 0.513236) (xy 1.787243 0.551559) + (xy 1.797617 0.573712) (xy 1.798119 0.576432) (xy 1.789405 0.590736) (xy 1.765325 0.619074) (xy 1.728976 0.658396) + (xy 1.683453 0.70566) (xy 1.631851 0.757824) (xy 1.577268 0.811837) (xy 1.522792 0.864653) (xy 1.471529 0.913231) + (xy 1.426566 0.954524) (xy 1.391004 0.985485) (xy 1.367935 1.003069) (xy 1.361556 1.005942) (xy 1.346701 0.999178) + (xy 1.316284 0.980939) (xy 1.275267 0.954295) (xy 1.243708 0.932853) (xy 1.186524 0.893501) (xy 1.118808 0.847172) + (xy 1.05088 0.800913) (xy 1.014363 0.776153) (xy 0.890753 0.692547) (xy 0.786993 0.74865) (xy 0.739719 0.77323) + (xy 0.699523 0.792331) (xy 0.672324 0.803226) (xy 0.665404 0.804743) (xy 0.657077 0.793549) (xy 0.640653 0.761915) + (xy 0.617357 0.712765) (xy 0.588412 0.649008) (xy 0.555047 0.573573) (xy 0.518492 0.489365) (xy 0.479964 0.399308) + (xy 0.440694 0.306321) (xy 0.40191 0.21332) (xy 0.36483 0.123223) (xy 0.33069 0.038948) (xy 0.300708 -0.036587) + (xy 0.276116 -0.100464) (xy 0.258135 -0.149767) (xy 0.247998 -0.181578) (xy 0.246368 -0.192504) + (xy 0.259292 -0.206439) (xy 0.287589 -0.22906) (xy 0.325346 -0.255665) (xy 0.328516 -0.257774) (xy 0.426101 -0.335888) + (xy 0.504787 -0.427018) (xy 0.563891 -0.528255) (xy 0.602732 -0.636682) (xy 0.620628 -0.749388) + (xy 0.616899 -0.863451) (xy 0.590856 -0.975967) (xy 0.541825 -1.084016) (xy 0.527401 -1.107656) + (xy 0.452367 -1.203114) (xy 0.363729 -1.279767) (xy 0.264549 -1.33722) (xy 0.157895 -1.375074) (xy 0.046836 -1.392921) + (xy -0.06556 -1.390375) (xy -0.176228 -1.367028) (xy -0.282094 -1.322488) (xy -0.380095 -1.256355) + (xy -0.410409 -1.229514) (xy -0.487562 -1.145488) (xy -0.543782 -1.057036) (xy -0.582349 -0.957886) + (xy -0.603824 -0.859697) (xy -0.609128 -0.749303) (xy -0.591448 -0.638357) (xy -0.552581 -0.530619) + (xy -0.494323 -0.429831) (xy -0.418468 -0.339745) (xy -0.326817 -0.264108) (xy -0.31477 -0.256134) + (xy -0.276612 -0.230027) (xy -0.2476 -0.207404) (xy -0.233732 -0.192961) (xy -0.233529 -0.192505) + (xy -0.23651 -0.17688) (xy -0.248313 -0.141418) (xy -0.267714 -0.089038) (xy -0.293486 -0.022654) + (xy -0.324407 0.054814) (xy -0.359249 0.14045) (xy -0.396784 0.231338) (xy -0.435784 0.324557) (xy -0.475021 0.417197) + (xy -0.513274 0.506335) (xy -0.549315 0.589055) (xy -0.581917 0.662439) (xy -0.609852 0.723575) + (xy -0.631895 0.769541) (xy -0.646817 0.797419) (xy -0.65283 0.804742) (xy -0.671191 0.799041) (xy -0.705554 0.783748) + (xy -0.749986 0.761598) (xy -0.774418 0.74865) (xy -0.878178 0.692547) (xy -1.001785 0.776154) (xy -1.064887 0.818985) + (xy -1.13397 0.866122) (xy -1.198708 0.910503) (xy -1.231136 0.932853) (xy -1.276741 0.963477) (xy -1.315358 0.987747) + (xy -1.341952 1.002587) (xy -1.35059 1.005724) (xy -1.363159 0.997261) (xy -1.390984 0.973635) (xy -1.431361 0.937302) + (xy -1.481595 0.890711) (xy -1.538988 0.836317) (xy -1.575286 0.801392) (xy -1.638789 0.738997) + (xy -1.693671 0.683188) (xy -1.737714 0.636354) (xy -1.768694 0.600882) (xy -1.784398 0.579161) + (xy -1.785903 0.574753) (xy -1.778914 0.557985) (xy -1.759594 0.524082) (xy -1.730091 0.476476) + (xy -1.692547 0.418599) (xy -1.6491 0.353884) (xy -1.636745 0.335842) (xy -1.591726 0.270266) (xy -1.55134 0.211228) + (xy -1.51784 0.16204) (xy -1.493486 0.126028) (xy -1.480536 0.106502) (xy -1.479284 0.104483) (xy -1.481155 0.08892) + (xy -1.491087 0.054709) (xy -1.507349 0.006355) (xy -1.528205 -0.051629) (xy -1.551926 -0.114728) + (xy -1.576782 -0.178437) (xy -1.601044 -0.238239) (xy -1.622971 -0.289624) (xy -1.640838 -0.328083) + (xy -1.652915 -0.349098) (xy -1.65377 -0.350104) (xy -1.661152 -0.356112) (xy -1.673627 -0.36205) + (xy -1.693919 -0.368541) (xy -1.724778 -0.376191) (xy -1.768934 -0.385621) (xy -1.829126 -0.397441) + (xy -1.908095 -0.412269) (xy -2.00857 -0.430723) (xy -2.030326 -0.434691) (xy -2.094803 -0.447147) + (xy -2.151013 -0.459335) (xy -2.193988 -0.470076) (xy -2.21876 -0.478193) (xy -2.222081 -0.480083) + (xy -2.227556 -0.496862) (xy -2.232006 -0.534355) (xy -2.235428 -0.588206) (xy -2.237819 -0.654054) + (xy -2.239177 -0.727547) (xy -2.239499 -0.804319) (xy -2.238781 -0.880017) (xy -2.237021 -0.95028) + (xy -2.234217 -1.010753) (xy -2.230362 -1.05707) (xy -2.225457 -1.084883) (xy -2.2225 -1.090573) + (xy -2.206036 -1.096315) (xy -2.168553 -1.105653) (xy -2.113775 -1.117785) (xy -2.045445 -1.131894) + (xy -1.967295 -1.147172) (xy -1.924718 -1.155177) (xy -1.843927 -1.170279) (xy -1.771889 -1.183959) + (xy -1.712113 -1.195533) (xy -1.66812 -1.204315) (xy -1.643439 -1.209613) (xy -1.639377 -1.210788) + (xy -1.632511 -1.224035) (xy -1.617998 -1.255943) (xy -1.597773 -1.301951) (xy -1.573767 -1.357507) + (xy -1.547918 -1.418047) (xy -1.52216 -1.479014) (xy -1.498427 -1.535849) (xy -1.478654 -1.583994) + (xy -1.464776 -1.618889) (xy -1.458726 -1.635977) (xy -1.458614 -1.636726) (xy -1.46547 -1.650206) + (xy -1.484698 -1.68123) (xy -1.514271 -1.726712) (xy -1.552174 -1.783565) (xy -1.596379 -1.848715) + (xy -1.622077 -1.886138) (xy -1.668909 -1.954753) (xy -1.710499 -2.017047) (xy -1.744828 -2.069872) + (xy -1.769857 -2.110071) (xy -1.783564 -2.134502) (xy -1.785544 -2.139976) (xy -1.777032 -2.152722) + (xy -1.753507 -2.179935) (xy -1.717967 -2.218571) (xy -1.673423 -2.265576) (xy -1.622876 -2.317904) + (xy -1.569336 -2.372505) (xy -1.515805 -2.42633) (xy -1.465291 -2.47633) (xy -1.420794 -2.519457) + (xy -1.385325 -2.552661) (xy -1.361886 -2.572895) (xy -1.354046 -2.577722) (xy -1.341278 -2.570932) + (xy -1.310744 -2.551858) (xy -1.265408 -2.522438) (xy -1.208244 -2.484621) (xy -1.142217 -2.440337) + (xy -1.09241 -2.406605) (xy -0.840765 -2.235488) (xy -0.631001 -2.321959) (xy -0.421236 -2.408431) + (xy -0.364389 -2.709984) (xy -0.307539 -3.011533) (xy 0.320114 -3.011533) (xy 0.376964 -2.709982) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1f4c4fde-90e0-419f-a5d1-71893583c76c") + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "0faab009-a7f0-4975-93ed-e8431032d6fb") + (at 137.565 82.327028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH7" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "161ae302-a54d-4dff-bb0b-62ad6a0d2e05") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "f8fa31a8-c5f9-48d8-bd6a-765aedd58419") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0b6696c8-c9be-42f6-8ace-f1a46ac9ddb2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5c1cd553-6ed5-4afa-ab32-662af0d05aca") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ec565e23-888b-4731-9564-aad75388708c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/73dff63c-586d-4059-b7a6-c227fb84a928") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "eeb99291-efd6-4047-95ba-26d7df96ceb1") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "0a794155-2dfd-4c80-9373-7c5dc146138d") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "476a87d3-cc05-4de7-bffd-1240e376b6db") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c59ce4f2-0bee-45ac-a28b-95b1b5f7504c") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "652a615d-a5ad-49aa-a991-41a5f6e986b4") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "fc24e105-dcc1-45e4-a211-9ce134045c9a") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "09833dd2-c46d-4cea-8011-ff06bf50e803") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "67a7d36e-82ee-4262-aa01-154eaff6ea00") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "52b8b192-492d-4b60-872c-1aeb64251035") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "715ba273-0a92-4039-b064-58d1e1316645") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f3acaf1f-3237-4366-af5a-8e17e2b1110d") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cc15f0f3-6f33-45bb-8a3f-292e51ccdef8") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "bcd64646-2e56-4f87-bf9e-493c2d702229") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "3bf225f8-433c-4ad5-ba06-9dad15ae460c") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "1c3c78bd-4d16-43f5-9511-5724239498e9") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5bdbbed1-ff46-44e7-b018-ab9474c56f33") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ae1ec934-5b65-4f0c-be74-7b672708324b") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "de360892-822a-4bad-af5a-7a99d4c39320") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b6b9831e-b419-4a47-9f54-034554244c52") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ef1bcaad-a1a2-4116-9be1-2f4ee5450169") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "da157541-43aa-4484-a3a6-9f6aebfc5d83") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "db7ec34d-c4b6-4429-97d3-66bf764c27f6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "795a6ba3-6429-4231-ad4d-c9df958953bc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "68d11a05-223f-4cc8-ae0e-ee73eb125308") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "f3d77ac4-4f82-4d0a-a25a-4605814ceb53") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "0c467282-9ccf-4101-b54d-4c41259e75b3") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "d260cdb7-48a3-4ba5-b652-b85282f0c6ce") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "f47b873d-6309-4f81-9f24-d29c0835333c") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "Net-(D7-A)") + (pinfunction "2") + (pintype "passive") + (uuid "b2362663-79f7-43f1-be6f-1ee11770e6d9") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "1538a20d-dabf-4691-a779-65b2d91a3e1e") + (at 101.065 95.202028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH14" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "7966fce5-6650-4194-8650-0ffb25475b95") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "452e6557-814f-4cd1-84fd-0bff8bade4d8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1f05b8c6-8368-4336-9ba5-0b30ac608bd6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "49840734-12f5-4950-95f0-87e097c0da42") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "896bca6e-7fe8-4177-a7ae-a3921e19614a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/b495e286-40fb-4263-b223-daa3ecf6eab6") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "d6c16e84-4671-4040-b02a-b39dc87927fe") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "d0d7692c-0812-4eaa-a788-3f3f45800da6") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "e9424c1a-ebaf-4ba0-911d-7d9835830d2b") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "cde63984-f3de-4820-9b5c-816a6dbef264") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "2ea77a11-0d23-4f71-a6ee-606ed966e418") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "603a70b5-7823-4e67-b2c4-8c36640ae361") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "42a794ab-9df9-4a16-8d36-9b89a7cf88a0") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "66691d3b-221a-4ed3-95be-8a49f5f36918") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "0f3373cb-fcef-49f1-b7a8-76bc59e57c3b") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "dad39435-1075-4477-bcaf-e570f12524a7") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5d1ba6de-46c3-4ff8-8059-2cf7c39d3755") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "fccca7fe-6b13-41d6-9056-aff24fe26ae7") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "b0e16673-b8d3-4c35-94e6-bc27480a4380") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "b0a0c298-90d1-424c-ae66-c9f0708142bf") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cc5b8ed0-894c-4694-b90c-dceba26643c8") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "663e1bf1-858c-43ea-989a-afdb61d5c0ab") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bad71b04-7174-49a4-9299-b021140ddaf3") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b4355802-cb4c-4459-bb8e-aee9201f7cc9") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4b0747da-6926-4b4d-a7ea-798414c88691") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f6c42f10-3b45-4d39-96d2-4c68c9ac9e25") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "5d400adf-420a-4688-8cb4-8aa7d5dbaef5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "307f719b-6b3c-4cf0-b786-7fc7548c9f3f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "3946d93c-1683-4e4a-996d-42ad90e6f03e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "7a0df57b-b4f8-40ab-aece-34ee0eb45f40") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "74e536e8-62aa-4481-88de-67678c90aede") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "3fc330a4-3763-4560-8e65-747d09e9be48") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "d38838ec-02b8-4b42-85b6-1dfc2dc56aa4") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "fd80416b-7b52-4f25-b55a-a21d28be8b96") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 18 "Net-(D14-A)") + (pinfunction "2") + (pintype "passive") + (uuid "befc8251-a97a-4494-bc5c-41cb2b1d8a88") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "165a36be-5b38-4812-a108-ab02907db8f2") + (at 146.45 89.35 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D7" + (at -3.575 -0.05 -90) + (layer "F.SilkS") + (uuid "4273ef65-3394-458f-995e-8444935432fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "266eb8b4-986e-4a14-8e83-54b0d7eab5ff") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0b93ede8-8699-427f-a970-20205dcfdba7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cc1119bf-9839-49da-99ae-44dde05250bf") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c764dce2-22e1-42fc-880e-58493a08913b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "f9e4a238-b193-45dd-b3c1-7d80fab03682") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "af25d01c-9a54-4cab-aab1-ddec199845ec") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/1d223807-1828-47fa-8b0c-b605128ae2fa") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "716ba4c9-5a5e-4189-8b1e-12be07e8ace5") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bb0a0f0c-6645-484e-a1f8-352c28d7f7e1") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c15f12dd-082a-48be-8d70-08ff0dfe6554") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c36e3404-17e1-44f2-adbf-2bdd5bbd1562") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "19146f49-cb8b-45df-b668-9e91114b1d55") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "78782154-b6ec-484a-8822-96f4917c5a15") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5c72d9f7-08ab-4fe1-a6e2-4149a3efbca3") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "60f7bc66-9b50-439c-93d5-3786fcc3a422") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c6d8c1db-b799-433e-9ab5-3200d7e440cd") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b42aebbb-39b1-4357-b460-461a2b9c6baa") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e173cf99-7e2c-4716-901e-7d9cdebaaf53") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "33f79e07-1625-42b5-afec-ed6f5d67c276") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3aa41b50-456f-48d7-be58-340ad95aac39") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e969d0e0-fd25-405b-a4fe-4143b1a3b690") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8ac495bf-9dde-423d-a251-cd554f09fdf1") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cd871da7-461f-4247-95a9-d43c728e2c87") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "10063006-c173-489c-96fc-064d6d58fddf") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa5854d6-0d5c-408e-869c-9e625040dd6d") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "97d2faeb-6c55-479e-8feb-4e1f3d207012") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "13ff62ee-f7c9-4335-9bcc-dde8c95e6640") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "Net-(D7-A)") + (pinfunction "A") + (pintype "passive") + (uuid "15fcae09-5127-4588-9584-c51ad3301638") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "19f19fbb-046e-4a87-8ec7-68c032848e3c") + (at 164.95 111.275 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D11" + (at -3.975 -0.025 -90) + (layer "F.SilkS") + (uuid "154e9372-79ef-4ff2-9bfa-932617d9a0df") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "06ed4e15-1ab9-4514-82e5-de97bc8091fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2374efe8-374b-4406-aa32-397d83bc58e5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "84b72785-1fb3-48f8-8489-c639ce569479") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8e6d7e0f-7818-4729-b4f5-78711a282e24") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "1677fd4d-1b06-458b-abda-a46ecf98c986") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "80a5a89c-7098-438d-9601-54de0f30c8a6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/9ffed6dd-5815-4781-aaff-e1f4f37684af") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "16cc465c-3ea8-4e0c-9879-7cfd885145e5") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1c7139dd-b67e-4fa9-9257-be7bd73b3288") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "029bc229-fce5-48aa-b950-36a67bf6a00c") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3b587ad6-3e84-4e39-b700-786c335bdb3c") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "78384aab-2a4c-489e-b4a1-31e2752c12e8") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b5f77879-6151-4059-8aaa-903ae0160457") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e971148d-a1f8-46b8-911b-ce0821f7f924") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3b270c86-c0ed-4f3b-a5ff-ec6992f1ff8b") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ca07c043-7108-423d-83a6-d741a77cbed5") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aa23eef4-9a96-48a9-8712-f52acf7555a2") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e0b98868-4f62-4c30-a943-e4237681ff2c") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39edd6e0-e3cd-4fde-bca3-6529a9caf8a6") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d7bfc408-079e-4904-92c6-34a1894b8b51") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ccb25b2f-fc17-45a2-8fd5-f6da8638cedd") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c1442d68-c611-428c-99ab-d3eb34359883") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "088f7a82-ccdd-4fa4-ba32-8f2eec235223") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6748dcca-c2a9-498a-a25a-41b9f3e6dc5c") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0ed238bf-1fd1-4934-bf26-94ee25921352") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "72c23384-b480-4834-a53a-64b61cc4a6d5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "8300ce92-5bf4-405b-bd27-b955500daa9c") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 15 "Net-(D11-A)") + (pinfunction "A") + (pintype "passive") + (uuid "93e97356-f781-4d6d-9af8-2ab569e6b9f7") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "1e7a59db-3445-461b-a29f-547600e8d73f") + (at 93.975 120.533312) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H2" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "f534e96c-11bb-4b87-a733-3ea9d03fe4ed") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "9524544d-f920-48b2-ab70-517f43286c87") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "25c5e51d-b0e9-4cee-a84f-981f050a77a6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "aa24bae6-5b91-4426-bacc-21291e3b2781") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0cdbc156-7dff-43c8-accd-b4f6250eedd7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/fb445a69-c945-443d-97ba-867298f36d47") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "624756d3-dc05-45ac-bac2-b6a71e617b5b") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "a305b6d4-9276-41ed-abfc-d48477bf8b4a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "aef55890-015e-469e-bafc-29a48de04df8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "15f1c02a-8a79-4e0f-8497-e8805812779a") + ) + ) + (footprint "Connector_PinHeader_2.00mm:PinHeader_1x05_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "21f8f587-b912-4f1d-8532-4fe2612a6a1d") + (at 109.150001 48.025001 180) + (descr "Through hole straight pin header, 1x05, 2.00mm pitch, single row") + (tags "Through hole pin header THT 1x05 2.00mm single row") + (property "Reference" "J3" + (at 2.525 -2.275001 180) + (layer "F.SilkS") + (uuid "454beb09-defa-4a96-8df6-2b909bb8db7b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x05_Socket" + (at 0 10.06 180) + (layer "F.Fab") + (uuid "619273e9-041a-4f68-a0de-abb06a42c5d1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.00mm:PinHeader_1x05_P2.00mm_Vertical" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55fba2bb-18a0-4f1a-8bf8-d94ee033cb24") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "889ae19a-1aec-487f-a4d9-9005b9268245") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x05, script generated" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "31ebcf7f-4f8a-467e-ab5c-96631994be0a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/745c778e-6d81-4fad-a1e3-3f83cedca6b9") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole) + (fp_line + (start 1.060003 1.000001) + (end 1.06 9.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d357e167-5483-4466-8e62-f145ea38874a") + ) + (fp_line + (start -1.06 9.06) + (end 1.06 9.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4d33f80c-20e6-4ecb-b6f2-6b5a24061722") + ) + (fp_line + (start -1.06 0) + (end -1.06 -1.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39206276-c339-40f9-a0b8-15a935d07a61") + ) + (fp_line + (start -1.06 -1.06) + (end 0 -1.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6b7e54e8-880b-4661-8262-d5c986760bad") + ) + (fp_line + (start -1.060001 1.000002) + (end 1.060003 1.000001) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "58e0cfd3-ac4a-4acc-bbfe-63482b5c7918") + ) + (fp_line + (start -1.060001 1.000002) + (end -1.06 9.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "55e6e0b3-6557-4ff8-9158-14aabdc827f5") + ) + (fp_line + (start 1.5 9.5) + (end 1.5 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f0061ae4-3104-4e28-acee-ddbd39778936") + ) + (fp_line + (start 1.5 -1.5) + (end -1.5 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2ce095df-440a-4b53-8724-0b07ba79d23a") + ) + (fp_line + (start -1.5 -1.5) + (end -1.500002 9.500001) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d8bc9e71-3864-416f-ae0d-7e0ee4aa9f80") + ) + (fp_line + (start -1.500002 9.500001) + (end 1.5 9.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "384150c6-0ed1-43c3-8088-f164e97b78d8") + ) + (fp_line + (start 1.000001 -1.000002) + (end 0.999999 9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "278e0dfa-4473-43a2-b4e9-30607d7b8f98") + ) + (fp_line + (start 0.999999 9) + (end -1 9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3cb9d1f7-1fe6-4c26-8e44-d692c8dbf801") + ) + (fp_line + (start -0.5 -1) + (end 1.000001 -1.000002) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "94d8cce2-6924-47bd-90c5-d784c7996cd7") + ) + (fp_line + (start -1 9) + (end -1 -0.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ca7544b4-7e08-40b9-a8b1-87976f1e99ed") + ) + (fp_line + (start -1 -0.5) + (end -0.5 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "60fe6bac-6f18-4805-a644-539ebec39710") + ) + (fp_text user "${REFERENCE}" + (at 0 4 -90) + (layer "F.Fab") + (uuid "eea7093e-056c-412a-9103-6a5bc159a93c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 180) + (size 1.35 1.35) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 43 "TPVCC") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "92f0a1df-c0d7-48e2-ac92-eac20ac44ba2") + ) + (pad "2" thru_hole oval + (at 0 2 180) + (size 1.35 1.35) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 42 "TPDATA") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "3c36b6e1-0321-4e1e-b64c-3d06783cfe76") + ) + (pad "3" thru_hole oval + (at 0 4 180) + (size 1.35 1.35) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 41 "TPRST") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "79f4af68-a9e5-442a-88cf-7c979d2a1e16") + ) + (pad "4" thru_hole oval + (at 0.000001 6.000001 180) + (size 1.35 1.35) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "TPCLK") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "eb1b009b-9314-466d-8593-e2c7eb3cc831") + ) + (pad "5" thru_hole oval + (at 0 8.000002 180) + (size 1.35 1.35) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 39 "TPGND") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "4032ef99-0bba-412d-b6a1-9930b42566d2") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinHeader_2.00mm.3dshapes/PinHeader_1x05_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "24d7e77a-482e-430a-9579-616ae15a0e46") + (at 51.325 135.058312) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H4" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "0f2ad0fc-c2b4-463f-a312-043fc8ab09f3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "714a0686-61d6-4316-92b9-d6bb37e55da9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b6499094-0aa5-4414-8d37-dea999226a65") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d9ac5700-4d87-4849-9128-6a4381f00191") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0df6f7ce-6b68-476a-8644-1a2dab87bee0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/401ae145-9175-495c-a492-e75afc3fe276") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "ff48a04e-08a4-4c20-965a-13757ca8093e") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "a8b7ceab-dae7-4fbc-8d15-4ce6ff9190ff") + ) + (fp_text user "${REFERENCE}" + (at -0.03 -0.04 0) + (layer "F.Fab") + (uuid "e6130df9-6ec8-4515-ad3d-a3d753a93d38") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "fe6c2d90-21a1-41e4-8608-827c07465d1e") + ) + ) + (footprint "Button_Switch_SMD:SW_SPDT_PCM12" + (layer "F.Cu") + (uuid "24f1c3f5-cf89-432d-b512-5e02d5d5d9a3") + (at 175.918836 68.67245 90) + (descr "Ultraminiature Surface Mount Slide Switch, right-angle, https://www.ckswitches.com/media/1424/pcm.pdf") + (property "Reference" "SW1" + (at -5.70255 0.281164 -90) + (layer "F.SilkS") + (uuid "1bf6959b-13e1-4407-8c4c-9a237907c71d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "SW_SPST_PCM12" + (at 0 4.25 -90) + (layer "F.Fab") + (uuid "01215957-53c2-4116-a662-4cae47fc7f3c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPDT_PCM12" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "c4c011b0-d8f3-4087-a406-b2b5d0d28d72") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "b8c01551-b4fe-4d6c-ac1b-5acabf788fe2") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "2c829d85-f88c-4dcf-b9bd-46669a15b7ef") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (path "/7911a313-cd2e-4e2b-9c3b-06ea7bcaab50") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start 0.100001 -1.120002) + (end -1.600002 -1.120001) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6eb2fa25-19d7-4c19-bb10-1c719559cc47") + ) + (fp_line + (start 1.599999 -1.119999) + (end 1.4 -1.120002) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "71879863-5e41-4af3-94de-9422ce63ee75") + ) + (fp_line + (start 3.450002 -0.07) + (end 3.450002 0.72) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6a6d27c3-8db2-4747-be09-9ec7032d81fc") + ) + (fp_line + (start -3.450002 0.719998) + (end -3.449998 -0.069999) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1a56af6f-ced0-448e-a1b2-a428e818cb84") + ) + (fp_line + (start 2.850001 1.73) + (end -2.849999 1.729998) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dba85bc5-a9b2-4039-a0c9-8a36d8104ba4") + ) + (fp_line + (start -0.1 1.73) + (end -0.1 3.02) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ddaa1fa4-19c8-4e41-9692-6695f67adb00") + ) + (fp_line + (start -1.4 3.020002) + (end -1.399998 1.730002) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7f88d03-3d7b-4cae-b9e4-990797891f95") + ) + (fp_line + (start -1.2 3.229998) + (end -1.4 3.020002) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "65173c99-0bae-4135-8cf6-3508eada4c2e") + ) + (fp_line + (start -0.300001 3.23) + (end -0.1 3.02) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "57b122fe-4330-4eb8-8eb0-38c471bb8e7c") + ) + (fp_line + (start -0.300001 3.23) + (end -1.2 3.229998) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "21801e25-f781-45fb-946d-95994669dec1") + ) + (fp_line + (start -4.399998 -2.45) + (end -4.4 2.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bec7f945-ef2b-4f84-bb92-fce23da49fb6") + ) + (fp_line + (start 4.400001 -2.449998) + (end -4.399998 -2.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7a02116c-ebf3-47e4-a9ef-af94c933b3e1") + ) + (fp_line + (start 1.649999 2.099999) + (end 4.4 2.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "20de3b32-f1db-4d59-a72a-ed3d4b5fc57b") + ) + (fp_line + (start -1.650001 2.099999) + (end -1.650001 3.399999) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d3c54143-7313-432b-88b7-ee5ba0fea50b") + ) + (fp_line + (start 4.4 2.1) + (end 4.400001 -2.449998) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3c0f5afb-c314-487d-8afe-4a994d9fb461") + ) + (fp_line + (start -4.4 2.1) + (end -1.650001 2.099999) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9509e3df-6558-42c9-b42f-6e9106ca405f") + ) + (fp_line + (start 1.649998 3.399998) + (end 1.649999 2.099999) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3eaac167-3ed1-43a5-9e3a-1df57565e5cd") + ) + (fp_line + (start -1.650001 3.399999) + (end 1.649998 3.399998) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "47641eec-ffe5-4a46-8583-277aaf36f4d8") + ) + (fp_line + (start 3.350001 -1) + (end 3.349999 1.600001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e34e13a0-3c4e-4480-bb32-f04cf59134ec") + ) + (fp_line + (start -3.350001 -1) + (end 3.350001 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "240b79ff-2da9-4881-89a5-479a0d2ce14e") + ) + (fp_line + (start -0.099999 1.6) + (end -0.1 2.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3ddd877d-784a-402d-9e4a-87cade69b79e") + ) + (fp_line + (start 3.349999 1.600001) + (end -3.350002 1.600001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "17e35447-202a-495e-9742-da1fc88b5c09") + ) + (fp_line + (start -3.350002 1.600001) + (end -3.350001 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "98f8ff08-bc90-40be-a67f-a14488629121") + ) + (fp_line + (start -0.1 2.900001) + (end -0.15 2.95) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d893cc6e-764f-48e6-af86-bef270621427") + ) + (fp_line + (start -1.4 2.949998) + (end -1.400001 1.649998) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2a15bffb-e663-4e49-b0ef-110a7a566353") + ) + (fp_line + (start -0.15 2.95) + (end -0.35 3.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f8d37d7c-8204-427b-840a-9d42e41e8400") + ) + (fp_line + (start -1.2 3.149999) + (end -1.4 2.949998) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1b43f26a-6189-4bf5-b195-f6db759fcfee") + ) + (fp_line + (start -0.35 3.15) + (end -1.2 3.149999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9d59aba5-4e20-4ba0-a560-ffdf7d8ea422") + ) + (fp_text user "${REFERENCE}" + (at 0 -3.2 -90) + (layer "F.Fab") + (uuid "23d88d23-0524-4b09-8177-6dd0ac3a058a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" smd rect + (at -3.65 -0.78 90) + (size 1 0.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "d069f744-7c5d-42ab-91d1-bdeb7efc93fd") + ) + (pad "" smd rect + (at -3.65 1.429999 90) + (size 1 0.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "7f8bcba1-0d23-4b9c-b605-aeae0311b61d") + ) + (pad "" np_thru_hole circle + (at -1.5 0.33 90) + (size 0.9 0.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (uuid "bd0f853f-0aab-4d52-932b-cad76b1cbad1") + ) + (pad "" np_thru_hole circle + (at 1.5 0.33 90) + (size 0.9 0.9) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (uuid "6587ab23-e869-45da-8ef4-e90b1900569f") + ) + (pad "" smd rect + (at 3.65 -0.78 90) + (size 1 0.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "48cc9f9d-d62e-41ad-8239-cd7a96c38743") + ) + (pad "" smd rect + (at 3.65 1.430001 90) + (size 1 0.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "1af1c49b-2321-49cc-8ade-421926f99112") + ) + (pad "1" smd rect + (at -2.250002 -1.429999 90) + (size 0.7 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "BATTP") + (pinfunction "A") + (pintype "passive") + (uuid "fe235535-71c4-44d3-a66a-7a638af7e2e2") + ) + (pad "2" smd rect + (at 0.749998 -1.430001 90) + (size 0.7 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "BATTP") + (pinfunction "B") + (pintype "passive") + (uuid "f9058648-ae97-4913-bd90-65718b13257d") + ) + (pad "3" smd rect + (at 2.250001 -1.430001 90) + (size 0.7 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "00a46e59-0715-4d33-90f5-8c277252e350") + ) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPDT_PCM12.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-promicroish:nice_nano_AH_USBup" + (layer "F.Cu") + (uuid "34f2b3bb-32db-4210-af02-3b623d19adbe") + (at 144.19 45.875 -90) + (descr "Footprint for nice!nano (nRF52850 pro-micro compatible controller), USB pointing away from PCB") + (property "Reference" "U1" + (at -7.625 15.865 180) + (layer "F.SilkS") + (uuid "d732b25c-1758-4274-b2a8-61e21c945a67") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify right) + ) + ) + (property "Value" "supermini nrf / nice nano v2" + (at 0 0.635 -90) + (unlocked yes) + (layer "F.Fab") + (uuid "708ccf00-3551-497a-a250-ab058d1b0b7c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-promicroish:nice_nano_AH_USBup" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "259b3870-5c96-4edd-a4e6-48f4a449d733") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://nicekeyboards.com/docs/nice-nano/pinout-schematic" + (at 0.75 -2.5 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "34de0dd8-ec6d-48ae-8b7d-4fe17b432daa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "40834155-20d4-464f-b36a-79283403b4bc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/c4849152-e7e7-4017-acde-021c5a249182") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files exclude_from_bom) + (fp_line + (start 3.6 -14.21) + (end -3.5 -14.21) + (stroke + (width 0.15) + (type default) + ) + (layer "B.SilkS") + (uuid "ef991627-793c-402c-aa8f-53062a62a99f") + ) + (fp_line + (start -3.5 -17.79) + (end -3.5 -14.21) + (stroke + (width 0.15) + (type default) + ) + (layer "B.SilkS") + (uuid "edb5e99f-da82-4df9-9986-6dec05bc2404") + ) + (fp_line + (start 3.6 -17.79) + (end 3.6 -14.21) + (stroke + (width 0.15) + (type default) + ) + (layer "B.SilkS") + (uuid "9160317b-52b6-4e9d-9802-69ae674d4f19") + ) + (fp_poly + (pts + (xy -8.89 -17.78) (xy 8.89 -17.78) (xy 8.89 15.24) (xy -8.89 15.24) + ) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "B.SilkS") + (uuid "37fc9369-3097-437a-b39a-d66670b1efd8") + ) + (fp_line + (start -3.55 -14.2) + (end -3.550001 -17.78) + (stroke + (width 0.15) + (type default) + ) + (layer "F.SilkS") + (uuid "5ec40593-21fe-4c74-b1a4-01b150a8517e") + ) + (fp_line + (start 3.55 -14.2) + (end -3.55 -14.2) + (stroke + (width 0.15) + (type default) + ) + (layer "F.SilkS") + (uuid "82a1ac62-588e-45e9-a9e9-d8e7065bac05") + ) + (fp_line + (start 3.55 -14.2) + (end 3.550001 -17.78) + (stroke + (width 0.15) + (type default) + ) + (layer "F.SilkS") + (uuid "ab25e227-c772-4e53-b245-2c13af0fb425") + ) + (fp_poly + (pts + (xy -8.89 -17.78) (xy 8.89 -17.78) (xy 8.89 15.24) (xy -8.89 15.24) + ) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "F.SilkS") + (uuid "2e1adc56-4ec8-46f9-9784-726691f5004e") + ) + (fp_poly + (pts + (xy 8.89 -17.78) (xy -8.89 -17.78) (xy -8.89 15.24) (xy 8.89 15.24) + ) + (stroke + (width 0.2) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "96980f60-3a5c-43bb-85ec-6fd901cb5122") + ) + (fp_poly + (pts + (xy -3.55 -19.3) (xy 3.55 -19.3) (xy 3.55 -14.2) (xy -3.55 -14.2) + ) + (stroke + (width 0.1) + (type default) + ) + (fill none) + (layer "Cmts.User") + (uuid "34b29ffb-3b37-474f-a5ff-0f99098d9bd2") + ) + (fp_text user "1" + (at -5.75 -13.2825 90) + (layer "B.SilkS") + (uuid "2714cb66-cee4-4dda-af07-9d653ee23845") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom mirror) + ) + ) + (fp_text user "flipped" + (at 0 -15 -90) + (unlocked yes) + (layer "B.SilkS") + (uuid "7a33280e-feec-4e9f-b87b-38c90dbcb708") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom mirror) + ) + ) + (fp_text user "U1" + (at -7.025 17.09 180) + (layer "B.SilkS") + (uuid "b561ec34-6720-48f8-b02e-6ddbbffaf7a0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom mirror) + ) + ) + (fp_text user "left" + (at 0 -16.25 -90) + (unlocked yes) + (layer "B.SilkS") + (uuid "ec036358-c83f-4dea-b744-1ee5d45f8002") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom mirror) + ) + ) + (fp_text user "1" + (at -5.75 -13.2825 90) + (layer "F.SilkS") + (uuid "34ebc3f5-5c8f-434b-8c75-1e4ba3012ba3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom) + ) + ) + (fp_text user "topside" + (at 0.25 -15 -90) + (unlocked yes) + (layer "F.SilkS") + (uuid "7192d03c-cadc-408a-89dd-72fd3bfa0837") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom) + ) + ) + (fp_text user "right" + (at 0 -16.25 -90) + (unlocked yes) + (layer "F.SilkS") + (uuid "8a2ccafe-2987-4201-abd5-4a1584290e66") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom) + ) + ) + (fp_text user "USB" + (at 0 -16.2 90) + (layer "Cmts.User") + (uuid "f81a128d-f17b-4a4a-9a6e-92c27a21d76d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify bottom) + ) + ) + (pad "1" thru_hole circle + (at -7.62 -13.97 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 17 "unconnected-(U1-P0.06-Pad1)") + (pinfunction "P0.06") + (pintype "bidirectional+no_connect") + (uuid "76d6af4c-c0bc-4b34-a778-ceafed1b99c7") + ) + (pad "2" thru_hole circle + (at -7.62 -11.43 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 28 "unconnected-(U1-P0.08-Pad2)") + (pinfunction "P0.08") + (pintype "bidirectional+no_connect") + (uuid "f07e2378-dbbd-406c-9ff6-68bd5424ad5a") + ) + (pad "3" thru_hole roundrect + (at -7.62 -8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 39 "TPGND") + (pinfunction "GND") + (pintype "power_out") + (uuid "77d1b343-4d95-4af5-94d5-73049fb01de4") + ) + (pad "4" thru_hole roundrect + (at -7.62 -6.35 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 39 "TPGND") + (pinfunction "GND") + (pintype "power_out") + (uuid "9d197659-3479-412f-b20b-246e11923dda") + ) + (pad "5" thru_hole circle + (at -7.62 -3.81 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 46 "unconnected-(U1-P0.17-Pad5)") + (pinfunction "P0.17") + (pintype "bidirectional+no_connect") + (uuid "da557f8b-2e44-4762-9cae-06feae8e212a") + ) + (pad "6" thru_hole circle + (at -7.62 -1.27 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 44 "unconnected-(U1-P0.20-Pad6)") + (pinfunction "P0.20") + (pintype "bidirectional+no_connect") + (uuid "819e68e8-122c-41ef-96d4-2d1fae06dff9") + ) + (pad "7" thru_hole circle + (at -7.62 1.27 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 45 "unconnected-(U1-P0.22-Pad7)") + (pinfunction "P0.22") + (pintype "bidirectional+no_connect") + (uuid "b4471f98-d291-47c2-b918-ab51725e83cf") + ) + (pad "8" thru_hole circle + (at -7.62 3.81 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 32 "TPCLK") + (pinfunction "P0.24") + (pintype "bidirectional") + (uuid "8843645b-95f8-4843-9e63-3bea3821e69c") + ) + (pad "9" thru_hole circle + (at -7.62 6.35 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 41 "TPRST") + (pinfunction "P1.00") + (pintype "bidirectional") + (uuid "53b27d54-b585-4677-8d93-1d7deecf3a97") + ) + (pad "10" thru_hole circle + (at -7.62 8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 42 "TPDATA") + (pinfunction "P0.11") + (pintype "bidirectional") + (uuid "651fbf8b-a055-4140-8755-828bc1436205") + ) + (pad "11" thru_hole circle + (at -7.62 11.43 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 33 "unconnected-(U1-P1.04-LF-Pad11)") + (pinfunction "P1.04-LF") + (pintype "bidirectional+no_connect") + (uuid "43f512a8-f1c8-4585-81ee-b502160c4a97") + ) + (pad "12" thru_hole circle + (at -7.62 13.97 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 25 "ROW3") + (pinfunction "P1.06-LF") + (pintype "bidirectional") + (uuid "f62edae7-23f1-4030-82e3-96eb4c272a2a") + ) + (pad "13" thru_hole oval + (at 7.62 -13.97 270) + (size 1.8 4.34) + (drill 1 + (offset 0 -1.27) + ) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 27 "BATTP") + (pinfunction "BAT+") + (pintype "power_out") + (uuid "915b013a-7535-4736-a6a1-d75b683efc1e") + ) + (pad "14" thru_hole roundrect + (at 7.62 -11.43 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 29 "RSTG") + (pinfunction "GND") + (pintype "power_out") + (uuid "8fd97647-3223-42e4-9f89-107c04c6a2cb") + ) + (pad "15" thru_hole circle + (at 7.62 -8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 30 "RSTR") + (pinfunction "RST") + (pintype "bidirectional") + (uuid "83b3d896-bb98-4c4f-b28b-34af412c93b4") + ) + (pad "16" thru_hole circle + (at 7.62 -6.35 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 43 "TPVCC") + (pinfunction "3V3") + (pintype "power_out") + (uuid "1b2ba4a0-8f37-462c-9164-f51e99db4b30") + ) + (pad "17" thru_hole circle + (at 7.62 -3.81 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 24 "ROW2") + (pinfunction "P0.31-LF") + (pintype "bidirectional") + (uuid "9ac43a16-f78a-4053-ab94-debb95c24814") + ) + (pad "18" thru_hole circle + (at 7.62 -1.27 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 23 "ROW1") + (pinfunction "P0.29-LF") + (pintype "bidirectional") + (uuid "4124babb-d9ba-486f-b145-f77e6e49d033") + ) + (pad "19" thru_hole circle + (at 7.62 1.27 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 22 "ROW0") + (pinfunction "P0.02-LF") + (pintype "bidirectional") + (uuid "7f6342f6-2e4a-478d-a847-591e393182e0") + ) + (pad "20" thru_hole circle + (at 7.62 3.81 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "P1.15-LF") + (pintype "bidirectional") + (uuid "1fa4c899-3f5d-440c-9b8e-c6df961d9562") + ) + (pad "21" thru_hole circle + (at 7.62 6.35 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "P1.13-LF") + (pintype "bidirectional") + (uuid "eff46c53-ac02-4322-b8c5-ddaa1a52f508") + ) + (pad "22" thru_hole circle + (at 7.62 8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "P1.11-LF") + (pintype "bidirectional") + (uuid "b51911c2-51c9-4fef-bb90-a121debc4b2a") + ) + (pad "23" thru_hole circle + (at 7.62 11.43 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "P0-10-LF") + (pintype "bidirectional") + (uuid "84b10947-2a04-41a6-a4ca-9a2fd76e8883") + ) + (pad "24" thru_hole circle + (at 7.62 13.97 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "P0.09-LF") + (pintype "bidirectional") + (uuid "6045e206-2fe3-4963-a3e3-a66d9ab68b07") + ) + (pad "25" thru_hole circle + (at -5.08 8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 35 "unconnected-(U1-P1.01-LF-Pad25)") + (pinfunction "P1.01-LF") + (pintype "bidirectional+no_connect") + (uuid "8bd6c07b-d517-492b-aaca-bd56d6d1ba91") + ) + (pad "26" thru_hole circle + (at -2.54 8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 36 "unconnected-(U1-P1.02-LF-Pad26)") + (pinfunction "P1.02-LF") + (pintype "bidirectional+no_connect") + (uuid "bd2e6d6d-9f7c-4c25-9110-2c245c7a5807") + ) + (pad "27" thru_hole circle + (at 0 8.89 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 37 "unconnected-(U1-P1-07-LF-Pad27)") + (pinfunction "P1-07-LF") + (pintype "bidirectional+no_connect") + (uuid "a230f09b-0748-4b11-808d-66be658a75bd") + ) + (pad "28" thru_hole roundrect + (at -7.62 -16.51 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 26 "BATTN") + (pinfunction "GND") + (pintype "power_out") + (uuid "4873ab46-e18b-4f20-b49c-bea752a72e1c") + ) + (pad "29" thru_hole circle + (at 7.62 -16.51 270) + (size 1.8 1.8) + (drill 1) + (layers "*.Cu" "B.Mask") + (remove_unused_layers no) + (net 27 "BATTP") + (pinfunction "BAT+") + (pintype "power_out") + (uuid "445e9a6c-10f3-47a7-9728-4225da47fc28") + ) + (zone + (net 0) + (net_name "") + (layers "*.Cu") + (uuid "9034b00d-5fb6-4fc2-8eb4-0440f14103df") + (name "ANT") + (hatch edge 0.5) + (connect_pads + (clearance 0) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (keepout + (tracks allowed) + (vias allowed) + (pads allowed) + (copperpour not_allowed) + (footprints allowed) + ) + (fill + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 131.49 40.605) (xy 131.489999 51.395) (xy 126.489999 51.394998) (xy 126.49 40.605001) + ) + ) + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_MCU.3dshapes/Nice_Nano_V2.step" + (offset + (xyz 0 1.25 3) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "369a98be-68e4-4eb2-a581-eadfeacf46c2") + (at 83.083157 122.52466 180) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D16" + (at -4.066843 -0.083652 180) + (layer "F.SilkS") + (uuid "4c894168-19bc-46f3-bbca-815da994dd91") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 180) + (layer "F.Fab") + (uuid "d8a0f3e8-9314-4046-b814-fbe6669ed44d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e90e7463-5926-46bf-b126-c7654e2f0be7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "df2448f3-f8e3-4f4e-ad3a-e89266822f51") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "61e84af3-2f40-47a8-9d74-d8d3b081d034") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -15) + (layer "F.Fab") + (hide yes) + (uuid "e38509ab-380d-4d23-8067-9f10a3393243") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -15) + (layer "F.Fab") + (hide yes) + (uuid "cd5c3edd-26ec-4ce8-a532-f89daadb4405") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/09393409-d237-4b1f-9d81-aeb31f7615de") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8012016b-9450-43eb-b3a4-aa5ac04748e1") + ) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5071fa08-01c9-4b8c-826e-4838aeb71e96") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "49f6c8d6-30c1-4f70-ae79-24cead7d715d") + ) + (fp_line + (start 2.350002 1.150002) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c641bd6e-f94c-44a4-86c7-6e559e104d4d") + ) + (fp_line + (start 2.35 -1.15) + (end 2.350002 1.150002) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9d96beae-046b-46f0-99aa-b793311f3652") + ) + (fp_line + (start -2.350002 -1.150002) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8270607f-a64b-4743-a869-c4a2964f8587") + ) + (fp_line + (start -2.350002 -1.150002) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1eaf49af-d0cd-4ef5-be20-cae566066eb0") + ) + (fp_line + (start 1.400002 -0.900001) + (end 1.400001 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "588fdc0b-5fbc-4f35-87b9-b72f0e38ffa1") + ) + (fp_line + (start 1.400001 0.9) + (end -1.400002 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "07832dfc-3635-42cd-bb51-9fc7da63fed7") + ) + (fp_line + (start 0.25 0.399999) + (end -0.349998 0.000001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b90146d1-21b0-4429-b975-9e914a4d6308") + ) + (fp_line + (start 0.249999 0.000001) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5f936a39-9965-45f4-8be8-7787f1b53b45") + ) + (fp_line + (start 0.249999 -0.4) + (end 0.25 0.399999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8ae22e40-0b75-41ae-8de2-13ecda157e01") + ) + (fp_line + (start -0.349998 0.000001) + (end 0.249999 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ab67ffce-ef8f-4143-8601-2159eb26f085") + ) + (fp_line + (start -0.349998 0.000001) + (end -0.35 -0.550003) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aa1c66ff-f1a8-40e9-8455-2dffc346ae01") + ) + (fp_line + (start -0.349998 0.000001) + (end -0.350001 0.550001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d97b8c87-9b8b-43a1-9d08-7a55b26b8fa7") + ) + (fp_line + (start -0.75 0) + (end -0.349998 0.000001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cd5d8ead-83c2-4b34-ae3f-7e3835441ec2") + ) + (fp_line + (start -1.400001 -0.9) + (end 1.400002 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d867a7d4-7719-4e7b-9cd2-1fd1deaa5819") + ) + (fp_line + (start -1.400002 0.900001) + (end -1.400001 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "99dd1138-f4f2-465c-add4-c46afdf2d5f2") + ) + (fp_text user "${REFERENCE}" + (at 0 -2 180) + (layer "F.Fab") + (uuid "68288826-d3b9-4a66-8500-1f54d2a2bcfc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.649999 -0.000001 180) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 25 "ROW3") + (pinfunction "K") + (pintype "passive") + (uuid "92da90ad-6503-4065-8a81-68a2a425074b") + ) + (pad "2" smd roundrect + (at 1.649999 0.000001 180) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 20 "Net-(D16-A)") + (pinfunction "A") + (pintype "passive") + (uuid "f6542c47-df6a-48a1-9e8a-ab6130a2c882") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "3c15ddbd-ca48-4181-a297-811ec475c8bb") + (at 91.825 97.725 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D15" + (at -4.025 0 -90) + (layer "F.SilkS") + (uuid "b12967ac-c293-479b-8a08-908a52f4b53f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "ff85caa2-1fab-4bfb-934a-67448558e43e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cbcac3a1-dbe8-45ee-a070-8cb0448dc116") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e03fc775-8c4a-47b1-adbc-1811178d02b2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4de11353-5c07-45fd-840d-cac131d96fef") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "0f86c3a5-dfcc-4c74-a5ff-473a7da78aed") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "ba7501b3-9bd4-486f-9c2b-b24e7511c510") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/690dd7c7-e6d7-4fd9-942b-f70fd60a7993") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "312236ee-5e43-452f-958b-1453c097c9ac") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "08c4d04c-3fc8-4265-9778-f7a23f31a0eb") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c6c6a400-4ce8-4e6a-9045-db4cff329c4d") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc17540b-2802-458d-aeff-b5a53b842ac6") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4a009898-20ca-40a2-b848-76a4846cda63") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "172cb94b-5fb8-44a9-a07d-7ab4fcba026f") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a535c2f4-5db6-4ad6-838a-503a952d1b11") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5ee2af5b-7bc6-46b1-a71a-09ec05902dcf") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7aaeb814-b958-4bad-9fb5-7ad512f13da7") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "da049d86-b3a9-4ec5-acb1-bf0ff0c94c26") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d4e255b3-8e04-4252-abc4-611f7115795d") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f2c3816b-7440-4c69-a4ab-4d6ade9e5956") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dac44b61-fbe0-469a-91b8-e13de7fd09e0") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "11b33702-9ce3-4e75-b643-bbf1502fa8fe") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5e887847-6433-4105-bd3b-97480a4e483e") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9470bf39-d059-43da-b89c-48980910e946") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7ca04039-b1d9-4b6a-a3ce-e6ffedd3df38") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "58420188-4816-4c7c-b1da-f614e3dfb34a") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "e51ba4fd-ce08-484f-aeee-6326a359233f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "632a6cc6-c2ed-4b52-91ae-6728d6d87a1a") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 19 "Net-(D15-A)") + (pinfunction "A") + (pintype "passive") + (uuid "02cb11c9-6707-4181-a92c-706bd4f45bc4") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "3e7080e8-5ec8-464c-8662-30b5717a21c0") + (at 82.84 60.352028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH5" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "46ce4ea0-6207-49d1-bf4b-52ac8ac29759") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "5ec10871-8f5f-4a36-be44-c4288b9c562f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f72c2891-0de6-4697-b156-359fb6502a4c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b8776567-051f-4617-931c-b6a78a00983f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2b2a7339-5d28-4f0b-aa0e-84d3d3c3a448") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/a6743c6b-37e9-436b-9375-c4850430a654") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c62df2dd-e0d6-4538-8132-1d22c74c9ddf") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "1888a00e-d46b-4fb0-b480-1360c732e6e4") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "ffb00b6f-de10-4974-84be-9feac44652cf") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "26341771-3bdc-4d74-9d49-4f9a24540d02") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "431597e5-a3be-4321-9376-e923d2143942") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "0eb4b686-3a9a-4926-b4c1-98d8838b7e78") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "12da8ac8-e8e9-41e7-a403-27faeafae454") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "6bb50c56-cd29-401b-873e-9d9678e9a0f2") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "22bffd4d-0a64-4d5d-b319-622c81e023b4") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "06f347b0-ebea-4270-9c4c-5a9ae6863ff0") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "11f2a374-57d0-4a22-8646-d13f88dbccee") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "af80fa22-d686-4f9a-9da2-ee01559c3ef7") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cd746111-fe72-46b1-be07-f3a3f6a221a2") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "e169c93c-be14-483d-97f8-edda7722818e") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "2dfbd7fb-b4dd-41d7-ad0f-c8b92595497f") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "13b91a80-7062-4725-84d1-6b92c5be8506") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "145e64c8-3032-4a7e-aa6d-1a9a01faee62") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c32ccc51-3b34-42e7-b85b-730922bc9e01") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8de6f125-5d1a-4cda-a1e9-7fbbada40944") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c98eb736-dadd-4af8-b1dd-e02a3556450b") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "a43ca9e8-39f6-4d89-90d8-2b2268b8a80c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "e87e00f4-4861-42ae-973d-c594195a6eaa") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "ac11056c-4f8b-4b01-a8a8-4ba69cb44e7c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "3612ffd7-4ab0-4aa1-b250-a6bfcfb3b2b4") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "69400b51-ceb8-4da8-b1b0-9517b73fa244") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "619a6b33-767c-4e0e-9134-f73a74f1106c") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "490b23dd-d7c4-4583-ba46-a611f908dadc") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "215c7cff-27ca-4326-ae46-cef51d4f07e2") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "Net-(D5-A)") + (pinfunction "2") + (pintype "passive") + (uuid "2e516b0f-bfe8-44a9-8e07-4fcbb6ce2a8b") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "467b8aea-0f84-44d8-80a4-943bd65eb038") + (at 164.675 75.55 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D1" + (at -3.575 0 -90) + (layer "F.SilkS") + (uuid "710651c2-58f4-40cd-b50c-226250747e3b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "35a9f23c-28f5-4045-89e8-4cf026a5a962") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e5902fb9-3872-4081-b097-5393dbe90e4c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8e5772f7-1602-4947-806f-8cffc8e5baec") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6199b897-2b9c-4f31-a3fd-cbce93bfc044") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "f512691a-63c4-42ef-85b8-5de9d6607a4e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "b5986456-6817-461f-9207-fc7520308f81") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/272bc288-d2af-4200-aa0c-e546decfed4a") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "52a09dcd-68f1-45bb-a874-88601486803d") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "756eb5b8-6197-4e16-99cb-54cc510f5e42") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c700eb89-88b4-41e1-a03d-78fac89aaedb") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "06cdf648-435c-4b8f-b8c5-c7b49c07252b") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2f82017c-2403-426f-9744-be0c5d024c67") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "88703fa6-86a0-48c1-945a-ad2b30138b5d") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "43b5a3ad-2690-40e7-9d65-83dfd2380cd8") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b67154f3-16f1-4347-a595-4d1c9e24a490") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "43326777-317b-4cba-b5a1-8dcbebeb6186") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d5947a08-6440-4399-9edd-efbab6802dd4") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0982f5b4-56e5-4319-b2da-e28433d7b7e6") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c2c72440-ed32-4324-8019-80605e035aaf") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9733f8d8-3ae2-4a84-804c-1878a4a07b8a") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2c24067b-c623-46c1-abb5-2915297d32e9") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0137bdbf-1f38-4fd6-b46f-6dfebe36cd48") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a167e807-e573-4de6-8e81-da44555cdc44") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d4c4d61-ca0c-4e18-b47a-17881dc31984") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "43321e1f-940f-4857-84ea-0ebc280c6eb0") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "6a9adc94-b6e5-4c90-87be-8aa55ab2b4b0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "dce105bf-147d-4c8c-964a-a697e614274b") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "Net-(D1-A)") + (pinfunction "A") + (pintype "passive") + (uuid "4044567f-7391-4f4b-9c5c-42fb526feef1") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "48847526-6be5-4da6-9809-acd41cf8b39d") + (at 155.765 108.827028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH11" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "5b0c9b1e-c5c4-434d-9527-84558e5667e0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "897064a9-6b33-4b74-943f-6159ec884872") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "be93f589-c116-46a9-abc9-a2ba1054b802") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "020a6d99-c7c8-4456-b507-7c8d100c0711") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c4fc6763-fbb0-44d5-b1f6-d4d5503021e8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/c1656bea-80b3-4659-b80a-99c7c1ccb727") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "3535a55b-0d46-47bc-a51c-ad18210c6113") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "91bbceb4-f62c-4201-aa07-95631af05705") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "4419bd67-5264-4f81-bd72-89abab93c5b5") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "9d3dbaf9-6e57-4e16-aef9-3cb9903aab90") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "c88dcbfa-4203-4fc1-9951-7db100f4a68b") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "3392897d-4640-4e8d-9dfe-d3a9ac6c76f8") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "ec9c074a-6d4d-4810-adaf-6d10bbfe4f91") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "cf7c502e-0829-43a0-83d5-868d7b2efe63") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "0d015cd4-59b7-41cd-ace1-0bba20206923") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "351faef6-ae5a-4815-bad7-6141795c65ff") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ed7114f0-1677-444c-8e7b-4879d1ee3ad9") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "88208bea-034e-4633-a6a0-be02641d2c4f") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "8f0e5feb-607f-4712-9725-18da9000d011") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "066b0215-3ef1-476f-ad42-afa9b05afbe9") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "0cc24c7f-2014-4a1a-b6b8-9891d2465e5e") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5148e133-607c-47a9-8a5c-068c42a1d007") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "db88ecef-702f-4380-b02e-78eaea1a1eb3") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8bf40d0a-d269-4d47-9bb4-970418ea3b8a") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0d3409ef-bc70-453e-aee3-cef69563dbe3") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b959f0da-10b9-463c-a6b5-775dbcdd4752") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "8f1a67a3-6a76-4c60-a51c-b8921c0f6d43") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "c08aeb64-505d-4f8a-bae7-5cffc7ba6f68") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "e86d1397-4d1b-4c74-94ff-e6a10b6a511d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "dd89845e-3df3-4e4c-9e5b-e0be9e195a9a") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "cc6b2dcb-d9e0-4f92-bd43-239952712345") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "ed62f494-c609-41fd-8fa7-4f4178ca4021") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "525e38b8-c55e-427e-ba5f-b4c4d085180c") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "a2dd17d5-01a4-4ff4-a1c0-17c508a9566d") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 15 "Net-(D11-A)") + (pinfunction "2") + (pintype "passive") + (uuid "e7f18ec3-ad5e-4d8a-834e-902ee418f26b") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "4f25e255-7a75-4cc7-8d01-8205db0b10ec") + (at 174.1 58.575) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H3" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "04153781-2a0a-4eba-affc-d4c8f7e597c5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "8a90246d-d718-4168-94a2-2e2a745211bb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dbc69d97-0343-4061-97f8-b7219db07891") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0987925b-bfa9-4ddc-a115-ec39e45cd35c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0c8f08bd-f1e6-439f-8876-76c92a3b3915") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/fd62ffea-12fa-45bd-9f37-c284115560a0") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "a2bed5e0-526b-4ea5-b27b-579fc7c1507c") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "ce141d52-5e31-4bac-b620-56302a24646b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "9de17a7f-e6da-4f66-933e-9f690414688c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "10fe618d-7b46-49cc-8e71-7d2e76f0d83e") + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "5051b8b8-3291-45d7-965a-f26f3a9dc589") + (at 128.525 61.6 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D3" + (at -3.625 -0.05 -90) + (layer "F.SilkS") + (uuid "c19c142b-0649-47b7-8bb2-5be94279bf71") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "d70fcf87-90a7-4f7d-b1e4-dde06fb53c7c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "89f15b59-d10c-48dc-bd7b-8b427f2f2986") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0c45b6b1-1e5e-4940-9067-a4821c52205e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4429931e-c13f-4e53-a95b-247a20210f6c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "c46c321d-0c0a-4cb2-be98-64afdfdfb88b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "0fcaea34-d549-4c29-b1a6-46581cfcbda1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/eba80320-50eb-4583-ab9d-7d03e3a07344") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c16d67cc-1e97-4815-9481-89df1f2b2ae4") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f4c66a5b-e6b5-49be-8e06-801c5b385420") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d9957b80-53be-455a-a511-8fa9ca4d5190") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "21f30137-600c-4801-b8cb-193dc6cb9db4") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "361e0995-c908-40da-977c-d5f8d7a748ef") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "477a8353-1082-4513-9211-a351368307a8") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7494af35-05e8-45d7-ac5f-e0ed896b2594") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d4e390ce-7c3f-40cf-a101-a234978d475b") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7be3200-bd05-44d4-b86f-f9e11f48cf27") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f6ab2b46-0a34-404f-9f20-c836728e9be3") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f5451d5b-4994-45ee-9259-269203da2958") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3ab3c2ab-81ea-4788-867e-3f2f00d9e2a2") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ea502ee7-132f-4774-a6a8-6b73d243ce2e") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dbe20da3-b897-4a7a-a83c-ba4208b1e9fa") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "836675ab-7fa6-48fc-b139-94a7d3c33d09") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "881c940b-6508-4d85-b944-7dff6dc69603") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "73f368d8-48ef-4682-8c11-ead882d28c38") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f7d72f8b-2714-4384-af28-52da572c9d6a") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "8b780144-e1a2-4d03-8199-1137784467fd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "2acff26d-04db-4073-ae24-1d6466c685a0") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 6 "Net-(D3-A)") + (pinfunction "A") + (pintype "passive") + (uuid "ec0c1a94-fa5f-4ae1-8310-360aa0fa870d") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "561dfe46-9506-40ef-a7e8-162330bcf6f1") + (at 101.065 76.914028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH9" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "7f85d206-bf9b-4a58-8cb6-349e6203ba6b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "8e9793ab-2463-482e-b152-50fa362e6415") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c40d07a9-b244-496b-a49b-b49024eeb8fa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "93f26652-5230-484c-b6bb-410fec1cc2de") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "779e4355-2490-4cb1-91f1-ff8fb65f9988") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/1a752e11-3ad4-4c59-b9d3-64ba2254508e") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "620c4d7a-4743-478e-9bbe-8e79b03106ae") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "f1ce2442-b092-491a-a42d-b2f0905254d7") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c35b26dc-7868-4e4f-941b-5927f4e6b28c") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "2ed371f0-7f9b-434b-97b5-012d3a2e0657") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "15608c76-5d43-4036-8603-4671a3abd60e") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "8bf7683f-f428-4fdf-a05d-3784e90803ed") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "dd556137-45f1-48ff-b57d-f8bb0f2aa93e") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "b4671161-c74c-465f-bffa-72b499feac3c") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "bfd86fc9-d0ca-4d56-a045-acd9f3ba8bae") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f91c2275-b6af-45b4-90f7-0b6fcb6f883a") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "acb62cc4-c468-42c8-b5d9-15f37ce19de2") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "2f8f7686-ec7c-45cb-8274-660242165053") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "721e1f2a-d9c8-4a40-8993-7cc22cba9ec1") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cb63c50e-cd4e-4c24-a66a-205bd629ed51") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ac0496cc-f886-454f-b500-6238e0142b96") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "57ecad2a-bbaa-4823-b576-29d7fbcac8c0") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8d059ebe-11a0-4e4d-aed6-2ea331e13f2c") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7ccccab9-f09b-4ea6-aadc-7b63e7fd2929") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6923537d-dcf0-4e0b-9024-aa6a7e8d5a19") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cb586fba-d76c-48e8-9322-7a7a7564dec1") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "e8559514-eb36-42a7-83aa-8c34b1ef775a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "500a8bea-22a1-49b5-9f40-97f80eedf198") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "1e4a9bae-6eff-4273-8eda-8381de3b5ea0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "66893ba5-42a0-4202-9c9c-7feb026456dd") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "8cfeb627-5fa8-44cd-b7e4-97800d4a7834") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "0da959a3-ae1d-4e0c-a5aa-a0b4e41e3cb1") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "d5c9a332-2629-4d71-a02e-9b1a6e69b689") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "098f6d88-8e27-4c4b-a8c2-7f75cb9d988a") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "Net-(D9-A)") + (pinfunction "2") + (pintype "passive") + (uuid "49e3dc82-e488-4f0d-ab8b-4dc98495d1df") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "graphics:fish" + (layer "F.Cu") + (uuid "58c8049a-f133-480e-b74c-4ca25a81c43b") + (at 149.185491 105.764659) + (property "Reference" "G***" + (at 0 0 0) + (layer "F.SilkS") + (uuid "02208661-c9bb-474a-85bb-169c3c6d3e2c") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "cccf8e0b-de34-49df-a00c-cc5a0e906b3a") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Footprint" "graphics:fish" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "73375204-fc49-4868-898e-46cf5ffb0084") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "417ded85-8398-4728-a719-74582caf3f67") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d2355bfb-4858-4e09-9b22-62eba12e0f92") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy -40.531915 -43.465227) (xy -40.531915 -39.5) (xy -39.045744 -39.5) (xy -37.559574 -39.5) (xy -37.559574 -43.425806) + (xy -37.542162 -44.234143) (xy -37.433366 -44.637223) (xy -37.148345 -44.775985) (xy -36.737544 -44.791397) + (xy -35.70082 -44.701226) (xy -34.32831 -44.457147) (xy -32.789584 -44.098816) (xy -31.254213 -43.665886) + (xy -29.891769 -43.198013) (xy -29.843458 -43.179207) (xy -28.62271 -42.656035) (xy -27.474917 -42.052932) + (xy -26.32797 -41.315042) (xy -25.109762 -40.38751) (xy -23.748184 -39.21548) (xy -22.171127 -37.744094) + (xy -20.330147 -35.942025) (xy -15.935826 -31.57384) (xy -13.689544 -31.644924) (xy -12.433572 -31.656326) + (xy -11.61424 -31.556808) (xy -11.13036 -31.288952) (xy -10.880745 -30.79534) (xy -10.764208 -30.018551) + (xy -10.761092 -29.983172) (xy -10.673404 -28.974346) (xy -8.241489 -30.103852) (xy -6.453631 -30.827314) + (xy -4.840834 -31.271277) (xy -3.471941 -31.427207) (xy -2.415791 -31.286574) (xy -1.792809 -30.903049) + (xy -1.504857 -30.414182) (xy -1.409051 -29.743409) (xy -1.512695 -28.805906) (xy -1.823093 -27.516854) + (xy -2.190374 -26.286163) (xy -2.505492 -25.220919) (xy -2.656514 -24.434634) (xy -2.659097 -23.691129) + (xy -2.528892 -22.754226) (xy -2.45286 -22.325948) (xy -2.20436 -20.939845) (xy -2.047259 -19.989885) + (xy -1.973275 -19.375348) (xy -1.974124 -18.995513) (xy -2.041521 -18.749659) (xy -2.14413 -18.572043) + (xy -2.604762 -18.302146) (xy -3.397465 -18.207941) (xy -4.344505 -18.28386) (xy -5.268149 -18.524332) + (xy -5.621679 -18.68101) (xy -6.206183 -19.031135) (xy -7.075354 -19.604694) (xy -8.078737 -20.301163) + (xy -8.514736 -20.613943) (xy -9.440211 -21.248931) (xy -10.215191 -21.713852) (xy -10.73 -21.946229) + (xy -10.863278 -21.951809) (xy -11.140493 -21.604184) (xy -11.467734 -20.971652) (xy -11.515239 -20.860206) + (xy -11.859264 -20.226283) (xy -12.308176 -19.972708) (xy -12.771179 -19.938336) (xy -13.545196 -20.096697) + (xy -14.545991 -20.520405) (xy -15.163644 -20.864199) (xy -16.035886 -21.366495) (xy -16.660399 -21.61236) + (xy -17.236101 -21.652883) (xy -17.865772 -21.558187) (xy -18.629121 -21.415069) (xy -19.156858 -21.326889) + (xy -19.256286 -21.31512) (xy -19.294083 -21.087049) (xy -19.138075 -20.531531) (xy -19.050079 -20.305377) + (xy -18.794016 -19.521954) (xy -18.831286 -19.170204) (xy -19.139867 -19.271917) (xy -19.613413 -19.748025) + (xy -20.072883 -20.224671) (xy -20.239338 -20.25182) (xy -20.10105 -19.846387) (xy -19.860638 -19.391397) + (xy -19.525477 -18.710443) (xy -19.526732 -18.455467) (xy -19.859257 -18.629142) (xy -20.395994 -19.113313) + (xy -21.33667 -20.034855) (xy -21.10119 -19.204959) (xy -20.830187 -18.409455) (xy -20.565834 -17.808712) + (xy -20.302594 -17.223544) (xy -20.372699 -17.036395) (xy -20.795572 -17.200654) (xy -20.814862 -17.211038) + (xy -21.292356 -17.600748) (xy -21.890611 -18.258673) (xy -22.197156 -18.654518) (xy -22.610053 -19.193609) + (xy -22.825507 -19.380565) (xy -22.841991 -19.179426) (xy -22.657976 -18.554234) (xy -22.271935 -17.469029) + (xy -22.130524 -17.086171) (xy -21.866338 -16.314747) (xy -21.72583 -15.783432) (xy -21.722868 -15.642836) + (xy -21.93447 -15.783349) (xy -22.410641 -16.240501) (xy -23.057064 -16.922535) (xy -23.202626 -17.082437) + (xy -23.854841 -17.770301) (xy -24.342241 -18.22065) (xy -24.579247 -18.356286) (xy -24.589361 -18.333358) + (xy -24.502344 -17.951464) (xy -24.273413 -17.212965) (xy -23.950754 -16.272582) (xy -23.926442 -16.204693) + (xy -23.613484 -15.292323) (xy -23.405796 -14.606164) (xy -23.343916 -14.281448) (xy -23.347604 -14.273747) + (xy -23.585956 -14.380279) (xy -24.054928 -14.805204) (xy -24.653083 -15.435958) (xy -25.278982 -16.159974) + (xy -25.831185 -16.864686) (xy -26.208256 -17.437527) (xy -26.222374 -17.463874) (xy -26.734065 -18.435483) + (xy -26.568937 -17.479569) (xy -26.370637 -16.665868) (xy -26.020076 -15.528652) (xy -25.576825 -14.246932) + (xy -25.100455 -12.999718) (xy -24.983074 -12.714059) (xy -24.880544 -12.402676) (xy -25.014804 -12.392605) + (xy -25.474177 -12.69593) (xy -25.621337 -12.801382) (xy -26.828711 -13.932818) (xy -27.925705 -15.468921) + (xy -28.3699 -16.287351) (xy -28.680092 -16.83489) (xy -28.872523 -17.020227) (xy -28.901999 -16.950782) + (xy -28.826757 -16.307996) (xy -28.608458 -15.316664) (xy -28.292332 -14.14025) (xy -27.923612 -12.94222) + (xy -27.547532 -11.886036) (xy -27.403997 -11.537195) (xy -27.075174 -10.743196) (xy -26.97291 -10.354238) + (xy -27.085247 -10.285204) (xy -27.237908 -10.354822) (xy -27.972092 -10.919704) (xy -28.831969 -11.820424) + (xy -29.69172 -12.909346) (xy -30.425525 -14.038831) (xy -30.516696 -14.20215) (xy -30.932948 -14.913448) + (xy -31.229255 -15.31894) (xy -31.339786 -15.334046) (xy -31.25483 -14.686332) (xy -31.02074 -13.69538) + (xy -30.685379 -12.526603) (xy -30.296607 -11.345417) (xy -29.902287 -10.317236) (xy -29.859438 -10.217858) + (xy -29.520545 -9.407369) (xy -29.406926 -9.001625) (xy -29.506122 -8.914821) (xy -29.681141 -8.990041) + (xy -30.346088 -9.498529) (xy -31.163978 -10.334249) (xy -32.0151 -11.352811) (xy -32.779738 -12.409827) + (xy -33.338182 -13.360909) (xy -33.382641 -13.454507) (xy -33.7315 -14.172061) (xy -33.971372 -14.589389) + (xy -34.044169 -14.629277) (xy -33.963112 -14.044191) (xy -33.743368 -13.10684) (xy -33.431082 -11.978256) + (xy -33.072398 -10.819472) (xy -32.713461 -9.791518) (xy -32.520568 -9.312318) (xy -32.200227 -8.502112) + (xy -32.025409 -7.907782) (xy -32.022781 -7.690235) (xy -32.260987 -7.806888) (xy -32.778257 -8.241747) + (xy -33.483503 -8.915024) (xy -33.873682 -9.311506) (xy -34.905508 -10.467838) (xy -35.655629 -11.560755) + (xy -36.28619 -12.838472) (xy -36.477038 -13.296794) (xy -37.374166 -15.514583) (xy -39.365847 -15.522479) + (xy -40.499192 -15.568798) (xy -41.547756 -15.682545) (xy -42.277106 -15.837126) (xy -42.933498 -15.980459) + (xy -43.715788 -15.972878) (xy -44.79128 -15.807706) (xy -45.230567 -15.719251) (xy -48.049773 -15.346888) + (xy -50.702813 -15.424341) (xy -53.146934 -15.934555) (xy -55.33938 -16.860476) (xy -57.237396 -18.18505) + (xy -58.79823 -19.891222) (xy -59.776313 -21.52134) (xy -60.140509 -22.29374) (xy -60.389203 -22.936) + (xy -60.545406 -23.583658) (xy -60.632127 -24.372254) (xy -60.672378 -25.437327) (xy -60.689044 -26.897624) + (xy -60.733702 -28.71697) (xy -60.835255 -30.09294) (xy -60.990023 -30.983503) (xy -61.06514 -31.192575) + (xy -61.270981 -31.81804) (xy -61.130431 -32.351558) (xy -60.96789 -32.62211) (xy -60.27289 -33.305455) + (xy -59.45478 -33.562484) (xy -58.650654 -33.423673) (xy -57.997606 -32.919499) (xy -57.632729 -32.080437) + (xy -57.606376 -31.874845) (xy -57.611078 -31.15237) (xy -57.864969 -30.712194) (xy -58.357013 -30.381275) + (xy -58.982153 -29.865946) (xy -59.364244 -29.261042) (xy -59.375315 -29.223655) (xy -59.505287 -28.33606) + (xy -59.548628 -27.115791) (xy -59.512138 -25.768187) (xy -59.402614 -24.498586) (xy -59.226855 -23.512325) + (xy -59.181085 -23.357131) (xy -58.953445 -22.41652) (xy -58.855482 -21.506937) (xy -58.856706 -21.377023) + (xy -58.823378 -20.759491) (xy -58.583553 -20.517286) (xy -58.23213 -20.48387) (xy -57.603338 -20.284114) + (xy -57.300997 -19.967645) (xy -56.817643 -19.411048) (xy -55.961179 -18.751434) (xy -54.865408 -18.075154) + (xy -53.664129 -17.468558) (xy -53.005107 -17.195229) (xy -51.887598 -16.902763) (xy -50.436868 -16.70118) + (xy -48.854322 -16.601557) (xy -47.341368 -16.614974) (xy -46.099409 -16.752508) (xy -45.873025 -16.802923) + (xy -45.513745 -16.912189) (xy -45.411271 -17.055351) (xy -45.614528 -17.314194) (xy -46.17244 -17.770505) + (xy -46.688397 -18.165947) (xy -48.029145 -19.357502) (xy -49.290347 -20.779074) (xy -50.224303 -22.122365) + (xy -41.310423 -22.122365) (xy -41.291975 -21.785448) (xy -41.006602 -20.948652) (xy -40.431054 -20.47876) + (xy -39.694097 -20.397616) (xy -38.924501 -20.727067) (xy -38.378674 -21.291215) (xy -38.003393 -21.966177) + (xy -37.8313 -22.552781) (xy -37.831168 -22.55536) (xy -37.807344 -23.03596) (xy -37.5 -23.75) (xy -37.5 -25.07642) + (xy -37.5 -26.014516) (xy -37.5 -27.322783) (xy -37.5 -28.163328) (xy -22 -28.5) (xy -22 -27.75) + (xy -22 -25.5) (xy -21.5 -25.5) (xy -20.75 -25.5) (xy -20.25 -25.5) (xy -19.25 -25.5) (xy -19.25 -29.974731) + (xy -19.25 -31.25) (xy -19.25 -31.957797) (xy -19.25 -32) (xy -19.25 -32.25) (xy -19.5 -32.25) (xy -19.75 -32.25) + (xy -20 -32.25) (xy -19.75 -32.25) (xy -20 -32.25) (xy -20.25 -32.25) (xy -20.5 -32.25) (xy -20.75 -32.25) + (xy -20.704394 -32.25) (xy -20.75 -32.25) (xy -20.805535 -32.25) (xy -20.75 -32.25) (xy -20.830934 -32.25) + (xy -20.915469 -32.25) (xy -21.076305 -32.25) (xy -21.25 -32.25) (xy -21.383064 -32.25) (xy -22 -32.25) + (xy -22 -31) (xy -22 -30.11129) (xy -22 -29.025256) (xy -22 -28.5) (xy -37.5 -28.163328) (xy -37.5 -29) + (xy -37.645683 -29) (xy -37.764631 -29) (xy -38 -29) (xy -37.908683 -29) (xy -38 -29) (xy -38.353598 -29) + (xy -37.5 -29) (xy -37.5 -26.375) (xy -37.5 -26) (xy -37.5 -25.75) (xy -37.5 -25) (xy -37.5 -24.75) + (xy -37.5 -24.5) (xy -37.5 -23.75) (xy -37.5 -24) (xy -37.5 -23.75) (xy -37.5 -29) (xy -38 -29) + (xy -38.25 -29) (xy -40 -29) (xy -40.5 -29) (xy -40.5 -27.75) (xy -40.469816 -26.435146) (xy -40.474501 -26.287634) + (xy -40.485378 -24.978989) (xy -40.5 -24.126478) (xy -40.5 -23.75) (xy -40.271842 -23.76129) (xy -39.993715 -23.641668) + (xy -40.120235 -23.359319) (xy -40.590558 -23.029018) (xy -40.683997 -22.983812) (xy -41.171894 -22.645382) + (xy -41.310423 -22.122365) (xy -50.224303 -22.122365) (xy -50.296035 -22.225536) (xy -50.522435 -22.635271) + (xy -50.657458 -23.268583) (xy -50.320771 -23.655226) (xy -49.755187 -23.757108) (xy -49.506815 -23.950718) + (xy -49.554573 -24.375806) (xy -49.678033 -24.987472) (xy -49.814728 -25.901391) (xy -49.894795 -26.560752) + (xy -49.945914 -27.535757) (xy -49.858272 -28.045633) (xy -49.663659 -28.067955) (xy -49.393868 -27.580298) + (xy -49.211778 -27.042521) (xy -48.815834 -26.071484) (xy -48.294966 -25.27203) (xy -47.743409 -24.757457) + (xy -47.255395 -24.641061) (xy -47.223316 -24.6518) (xy -46.979652 -24.844353) (xy -46.931222 -25.25366) + (xy -47.06074 -26.03101) (xy -47.063983 -26.046404) (xy -47.207981 -27.030208) (xy -47.247184 -27.966896) + (xy -47.234187 -28.182738) (xy -47.144432 -29.087096) (xy -46.510686 -27.661825) (xy -45.978085 -26.585958) + (xy -45.52339 -25.975989) (xy -45.087576 -25.769404) (xy -44.776836 -25.825507) (xy -44.563872 -26.003273) + (xy -44.461915 -26.401639) (xy -44.456973 -27.13602) (xy -44.511376 -28.015166) (xy -44.569641 -29.17099) + (xy -44.539457 -29.828837) (xy -44.427844 -29.977209) (xy -44.241822 -29.60461) (xy -44.01855 -28.822237) + (xy -43.676175 -27.781987) (xy -43.275156 -27.254666) (xy -42.777325 -27.207356) (xy -42.387594 -27.415686) + (xy -42.053336 -27.726341) (xy -41.878682 -28.158781) (xy -41.821909 -28.872671) (xy -41.828287 -29.556058) + (xy -41.868432 -31.272043) (xy -41.315856 -30.04301) (xy -40.977995 -29.327928) (xy -40.755235 -29.043196) + (xy -40.547311 -29.118175) (xy -40.346705 -29.360215) (xy -39.73482 -30.348771) (xy -39.121759 -31.642299) + (xy -38.598109 -33.01851) (xy -38.254456 -34.255115) (xy -38.188119 -34.657161) (xy -38.052436 -35.483999) + (xy -37.885403 -36.061977) (xy -37.787625 -36.214509) (xy -37.387538 -36.194805) (xy -36.65344 -35.931868) + (xy -35.702029 -35.483871) (xy -34.650005 -34.908985) (xy -33.614064 -34.26538) (xy -32.974573 -33.815325) + (xy -31.479787 -32.695836) (xy -32.695744 -33.980145) (xy -34.018619 -35.104362) (xy -35.732053 -36.147143) + (xy -37.686182 -37.02833) (xy -39.466181 -37.601332) (xy -40.694454 -37.880849) (xy -41.491288 -37.927126) + (xy -41.931155 -37.699008) (xy -42.088525 -37.155339) (xy -42.03787 -36.254965) (xy -42.029363 -36.184828) + (xy -41.955624 -35.366893) (xy -41.95898 -34.822504) (xy -42.011853 -34.686021) (xy -42.236457 -34.918598) + (xy -42.542778 -35.49961) (xy -42.858286 -36.253972) (xy -43.110455 -37.006605) (xy -43.226756 -37.582425) + (xy -43.228108 -37.622043) (xy -43.38068 -38.120606) (xy -43.7273 -38.209633) (xy -44.121844 -37.904032) + (xy -44.331563 -37.509447) (xy -44.46521 -36.773583) (xy -44.458539 -35.845028) (xy -44.429301 -35.597619) + (xy -44.351076 -34.884324) (xy -44.358117 -34.462188) (xy -44.389561 -34.412903) (xy -44.59993 -34.643908) + (xy -44.904573 -35.222002) (xy -45.230665 -35.974804) (xy -45.505382 -36.729932) (xy -45.6559 -37.315007) + (xy -45.665957 -37.434253) (xy -45.808335 -37.870009) (xy -46.13883 -37.908862) (xy -46.398237 -37.768029) + (xy -46.543249 -37.396164) (xy -46.603663 -36.673176) (xy -46.611702 -36.002987) (xy -46.635738 -35.109082) + (xy -46.698792 -34.498406) (xy -46.787287 -34.301571) (xy -46.788516 -34.302296) (xy -46.97918 -34.595803) + (xy -47.292488 -35.243496) (xy -47.581324 -35.915027) (xy -47.944089 -36.714192) (xy -48.258466 -37.259031) + (xy -48.424496 -37.417204) (xy -48.719456 -37.170405) (xy -48.923092 -36.529131) (xy -49.001379 -35.642063) + (xy -48.971385 -35.027419) (xy -48.926369 -34.320562) (xy -48.954041 -33.909228) (xy -48.985477 -33.866666) + (xy -49.165821 -34.091363) (xy -49.48181 -34.666622) (xy -49.707613 -35.131904) (xy -50.102631 -35.878882) + (xy -50.395934 -36.162378) (xy -50.602052 -36.087818) (xy -51.036553 -35.787192) (xy -51.2625 -35.980752) + (xy -51.283637 -36.674159) (xy -51.240961 -37.053658) (xy -50.704947 -39.185717) (xy -49.734224 -41.012786) + (xy -48.358948 -42.508452) (xy -46.609274 -43.646298) (xy -44.515358 -44.399911) (xy -42.490957 -44.71837) + (xy -40.531915 -44.87024) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "8d8331db-80e7-4f87-b368-ecd59cbe3616") + ) + (fp_poly + (pts + (xy -40.531915 -43.465227) (xy -40.531915 -39.5) (xy -39.045744 -39.5) (xy -37.559574 -39.5) (xy -37.559574 -43.425806) + (xy -37.542162 -44.234143) (xy -37.433366 -44.637223) (xy -37.148345 -44.775985) (xy -36.737544 -44.791397) + (xy -35.70082 -44.701226) (xy -34.32831 -44.457147) (xy -32.789584 -44.098816) (xy -31.254213 -43.665886) + (xy -29.891769 -43.198013) (xy -29.843458 -43.179207) (xy -28.62271 -42.656035) (xy -27.474917 -42.052932) + (xy -26.32797 -41.315042) (xy -25.109762 -40.38751) (xy -23.748184 -39.21548) (xy -22.171127 -37.744094) + (xy -20.330147 -35.942025) (xy -15.935826 -31.57384) (xy -13.689544 -31.644924) (xy -12.433572 -31.656326) + (xy -11.61424 -31.556808) (xy -11.13036 -31.288952) (xy -10.880745 -30.79534) (xy -10.764208 -30.018551) + (xy -10.761092 -29.983172) (xy -10.673404 -28.974346) (xy -8.241489 -30.103852) (xy -6.453631 -30.827314) + (xy -4.840834 -31.271277) (xy -3.471941 -31.427207) (xy -2.415791 -31.286574) (xy -1.792809 -30.903049) + (xy -1.504857 -30.414182) (xy -1.409051 -29.743409) (xy -1.512695 -28.805906) (xy -1.823093 -27.516854) + (xy -2.190374 -26.286163) (xy -2.505492 -25.220919) (xy -2.656514 -24.434634) (xy -2.659097 -23.691129) + (xy -2.528892 -22.754226) (xy -2.45286 -22.325948) (xy -2.20436 -20.939845) (xy -2.047259 -19.989885) + (xy -1.973275 -19.375348) (xy -1.974124 -18.995513) (xy -2.041521 -18.749659) (xy -2.14413 -18.572043) + (xy -2.604762 -18.302146) (xy -3.397465 -18.207941) (xy -4.344505 -18.28386) (xy -5.268149 -18.524332) + (xy -5.621679 -18.68101) (xy -6.206183 -19.031135) (xy -7.075354 -19.604694) (xy -8.078737 -20.301163) + (xy -8.514736 -20.613943) (xy -9.440211 -21.248931) (xy -10.215191 -21.713852) (xy -10.73 -21.946229) + (xy -10.863278 -21.951809) (xy -11.140493 -21.604184) (xy -11.467734 -20.971652) (xy -11.515239 -20.860206) + (xy -11.859264 -20.226283) (xy -12.308176 -19.972708) (xy -12.771179 -19.938336) (xy -13.545196 -20.096697) + (xy -14.545991 -20.520405) (xy -15.163644 -20.864199) (xy -16.035886 -21.366495) (xy -16.660399 -21.61236) + (xy -17.236101 -21.652883) (xy -17.865772 -21.558187) (xy -18.629121 -21.415069) (xy -19.156858 -21.326889) + (xy -19.256286 -21.31512) (xy -19.294083 -21.087049) (xy -19.138075 -20.531531) (xy -19.050079 -20.305377) + (xy -18.794016 -19.521954) (xy -18.831286 -19.170204) (xy -19.139867 -19.271917) (xy -19.613413 -19.748025) + (xy -20.072883 -20.224671) (xy -20.239338 -20.25182) (xy -20.10105 -19.846387) (xy -19.860638 -19.391397) + (xy -19.525477 -18.710443) (xy -19.526732 -18.455467) (xy -19.859257 -18.629142) (xy -20.395994 -19.113313) + (xy -21.33667 -20.034855) (xy -21.10119 -19.204959) (xy -20.830187 -18.409455) (xy -20.565834 -17.808712) + (xy -20.302594 -17.223544) (xy -20.372699 -17.036395) (xy -20.795572 -17.200654) (xy -20.814862 -17.211038) + (xy -21.292356 -17.600748) (xy -21.890611 -18.258673) (xy -22.197156 -18.654518) (xy -22.610053 -19.193609) + (xy -22.825507 -19.380565) (xy -22.841991 -19.179426) (xy -22.657976 -18.554234) (xy -22.271935 -17.469029) + (xy -22.130524 -17.086171) (xy -21.866338 -16.314747) (xy -21.72583 -15.783432) (xy -21.722868 -15.642836) + (xy -21.93447 -15.783349) (xy -22.410641 -16.240501) (xy -23.057064 -16.922535) (xy -23.202626 -17.082437) + (xy -23.854841 -17.770301) (xy -24.342241 -18.22065) (xy -24.579247 -18.356286) (xy -24.589361 -18.333358) + (xy -24.502344 -17.951464) (xy -24.273413 -17.212965) (xy -23.950754 -16.272582) (xy -23.926442 -16.204693) + (xy -23.613484 -15.292323) (xy -23.405796 -14.606164) (xy -23.343916 -14.281448) (xy -23.347604 -14.273747) + (xy -23.585956 -14.380279) (xy -24.054928 -14.805204) (xy -24.653083 -15.435958) (xy -25.278982 -16.159974) + (xy -25.831185 -16.864686) (xy -26.208256 -17.437527) (xy -26.222374 -17.463874) (xy -26.734065 -18.435483) + (xy -26.568937 -17.479569) (xy -26.370637 -16.665868) (xy -26.020076 -15.528652) (xy -25.576825 -14.246932) + (xy -25.100455 -12.999718) (xy -24.983074 -12.714059) (xy -24.880544 -12.402676) (xy -25.014804 -12.392605) + (xy -25.474177 -12.69593) (xy -25.621337 -12.801382) (xy -26.828711 -13.932818) (xy -27.925705 -15.468921) + (xy -28.3699 -16.287351) (xy -28.680092 -16.83489) (xy -28.872523 -17.020227) (xy -28.901999 -16.950782) + (xy -28.826757 -16.307996) (xy -28.608458 -15.316664) (xy -28.292332 -14.14025) (xy -27.923612 -12.94222) + (xy -27.547532 -11.886036) (xy -27.403997 -11.537195) (xy -27.075174 -10.743196) (xy -26.97291 -10.354238) + (xy -27.085247 -10.285204) (xy -27.237908 -10.354822) (xy -27.972092 -10.919704) (xy -28.831969 -11.820424) + (xy -29.69172 -12.909346) (xy -30.425525 -14.038831) (xy -30.516696 -14.20215) (xy -30.932948 -14.913448) + (xy -31.229255 -15.31894) (xy -31.339786 -15.334046) (xy -31.25483 -14.686332) (xy -31.02074 -13.69538) + (xy -30.685379 -12.526603) (xy -30.296607 -11.345417) (xy -29.902287 -10.317236) (xy -29.859438 -10.217858) + (xy -29.520545 -9.407369) (xy -29.406926 -9.001625) (xy -29.506122 -8.914821) (xy -29.681141 -8.990041) + (xy -30.346088 -9.498529) (xy -31.163978 -10.334249) (xy -32.0151 -11.352811) (xy -32.779738 -12.409827) + (xy -33.338182 -13.360909) (xy -33.382641 -13.454507) (xy -33.7315 -14.172061) (xy -33.971372 -14.589389) + (xy -34.044169 -14.629277) (xy -33.963112 -14.044191) (xy -33.743368 -13.10684) (xy -33.431082 -11.978256) + (xy -33.072398 -10.819472) (xy -32.713461 -9.791518) (xy -32.520568 -9.312318) (xy -32.200227 -8.502112) + (xy -32.025409 -7.907782) (xy -32.022781 -7.690235) (xy -32.260987 -7.806888) (xy -32.778257 -8.241747) + (xy -33.483503 -8.915024) (xy -33.873682 -9.311506) (xy -34.905508 -10.467838) (xy -35.655629 -11.560755) + (xy -36.28619 -12.838472) (xy -36.477038 -13.296794) (xy -37.374166 -15.514583) (xy -39.365847 -15.522479) + (xy -40.499192 -15.568798) (xy -41.547756 -15.682545) (xy -42.277106 -15.837126) (xy -42.933498 -15.980459) + (xy -43.715788 -15.972878) (xy -44.79128 -15.807706) (xy -45.230567 -15.719251) (xy -48.049773 -15.346888) + (xy -50.702813 -15.424341) (xy -53.146934 -15.934555) (xy -55.33938 -16.860476) (xy -57.237396 -18.18505) + (xy -58.79823 -19.891222) (xy -59.776313 -21.52134) (xy -60.140509 -22.29374) (xy -60.389203 -22.936) + (xy -60.545406 -23.583658) (xy -60.632127 -24.372254) (xy -60.672378 -25.437327) (xy -60.689044 -26.897624) + (xy -60.733702 -28.71697) (xy -60.835255 -30.09294) (xy -60.990023 -30.983503) (xy -61.06514 -31.192575) + (xy -61.270981 -31.81804) (xy -61.130431 -32.351558) (xy -60.96789 -32.62211) (xy -60.27289 -33.305455) + (xy -59.45478 -33.562484) (xy -58.650654 -33.423673) (xy -57.997606 -32.919499) (xy -57.632729 -32.080437) + (xy -57.606376 -31.874845) (xy -57.611078 -31.15237) (xy -57.864969 -30.712194) (xy -58.357013 -30.381275) + (xy -58.982153 -29.865946) (xy -59.364244 -29.261042) (xy -59.375315 -29.223655) (xy -59.505287 -28.33606) + (xy -59.548628 -27.115791) (xy -59.512138 -25.768187) (xy -59.402614 -24.498586) (xy -59.226855 -23.512325) + (xy -59.181085 -23.357131) (xy -58.953445 -22.41652) (xy -58.855482 -21.506937) (xy -58.856706 -21.377023) + (xy -58.823378 -20.759491) (xy -58.583553 -20.517286) (xy -58.23213 -20.48387) (xy -57.603338 -20.284114) + (xy -57.300997 -19.967645) (xy -56.817643 -19.411048) (xy -55.961179 -18.751434) (xy -54.865408 -18.075154) + (xy -53.664129 -17.468558) (xy -53.005107 -17.195229) (xy -51.887598 -16.902763) (xy -50.436868 -16.70118) + (xy -48.854322 -16.601557) (xy -47.341368 -16.614974) (xy -46.099409 -16.752508) (xy -45.873025 -16.802923) + (xy -45.513745 -16.912189) (xy -45.411271 -17.055351) (xy -45.614528 -17.314194) (xy -46.17244 -17.770505) + (xy -46.688397 -18.165947) (xy -48.029145 -19.357502) (xy -49.290347 -20.779074) (xy -50.224303 -22.122365) + (xy -41.310423 -22.122365) (xy -41.291975 -21.785448) (xy -41.006602 -20.948652) (xy -40.431054 -20.47876) + (xy -39.694097 -20.397616) (xy -38.924501 -20.727067) (xy -38.378674 -21.291215) (xy -38.003393 -21.966177) + (xy -37.8313 -22.552781) (xy -37.831168 -22.55536) (xy -37.807344 -23.03596) (xy -37.5 -23.75) (xy -37.5 -25.07642) + (xy -37.5 -26.014516) (xy -37.5 -27.322783) (xy -37.5 -28.163328) (xy -22 -28.5) (xy -22 -27.75) + (xy -22 -25.5) (xy -21.5 -25.5) (xy -20.75 -25.5) (xy -20.25 -25.5) (xy -19.25 -25.5) (xy -19.25 -29.974731) + (xy -19.25 -31.25) (xy -19.25 -31.957797) (xy -19.25 -32) (xy -19.25 -32.25) (xy -19.5 -32.25) (xy -19.75 -32.25) + (xy -20 -32.25) (xy -19.75 -32.25) (xy -20 -32.25) (xy -20.25 -32.25) (xy -20.5 -32.25) (xy -20.75 -32.25) + (xy -20.704394 -32.25) (xy -20.75 -32.25) (xy -20.805535 -32.25) (xy -20.75 -32.25) (xy -20.830934 -32.25) + (xy -20.915469 -32.25) (xy -21.076305 -32.25) (xy -21.25 -32.25) (xy -21.383064 -32.25) (xy -22 -32.25) + (xy -22 -31) (xy -22 -30.11129) (xy -22 -29.025256) (xy -22 -28.5) (xy -37.5 -28.163328) (xy -37.5 -29) + (xy -37.645683 -29) (xy -37.764631 -29) (xy -38 -29) (xy -37.908683 -29) (xy -38 -29) (xy -38.353598 -29) + (xy -37.5 -29) (xy -37.5 -26.375) (xy -37.5 -26) (xy -37.5 -25.75) (xy -37.5 -25) (xy -37.5 -24.75) + (xy -37.5 -24.5) (xy -37.5 -23.75) (xy -37.5 -24) (xy -37.5 -23.75) (xy -37.5 -29) (xy -38 -29) + (xy -38.25 -29) (xy -40 -29) (xy -40.5 -29) (xy -40.5 -27.75) (xy -40.469816 -26.435146) (xy -40.474501 -26.287634) + (xy -40.485378 -24.978989) (xy -40.5 -24.126478) (xy -40.5 -23.75) (xy -40.271842 -23.76129) (xy -39.993715 -23.641668) + (xy -40.120235 -23.359319) (xy -40.590558 -23.029018) (xy -40.683997 -22.983812) (xy -41.171894 -22.645382) + (xy -41.310423 -22.122365) (xy -50.224303 -22.122365) (xy -50.296035 -22.225536) (xy -50.522435 -22.635271) + (xy -50.657458 -23.268583) (xy -50.320771 -23.655226) (xy -49.755187 -23.757108) (xy -49.506815 -23.950718) + (xy -49.554573 -24.375806) (xy -49.678033 -24.987472) (xy -49.814728 -25.901391) (xy -49.894795 -26.560752) + (xy -49.945914 -27.535757) (xy -49.858272 -28.045633) (xy -49.663659 -28.067955) (xy -49.393868 -27.580298) + (xy -49.211778 -27.042521) (xy -48.815834 -26.071484) (xy -48.294966 -25.27203) (xy -47.743409 -24.757457) + (xy -47.255395 -24.641061) (xy -47.223316 -24.6518) (xy -46.979652 -24.844353) (xy -46.931222 -25.25366) + (xy -47.06074 -26.03101) (xy -47.063983 -26.046404) (xy -47.207981 -27.030208) (xy -47.247184 -27.966896) + (xy -47.234187 -28.182738) (xy -47.144432 -29.087096) (xy -46.510686 -27.661825) (xy -45.978085 -26.585958) + (xy -45.52339 -25.975989) (xy -45.087576 -25.769404) (xy -44.776836 -25.825507) (xy -44.563872 -26.003273) + (xy -44.461915 -26.401639) (xy -44.456973 -27.13602) (xy -44.511376 -28.015166) (xy -44.569641 -29.17099) + (xy -44.539457 -29.828837) (xy -44.427844 -29.977209) (xy -44.241822 -29.60461) (xy -44.01855 -28.822237) + (xy -43.676175 -27.781987) (xy -43.275156 -27.254666) (xy -42.777325 -27.207356) (xy -42.387594 -27.415686) + (xy -42.053336 -27.726341) (xy -41.878682 -28.158781) (xy -41.821909 -28.872671) (xy -41.828287 -29.556058) + (xy -41.868432 -31.272043) (xy -41.315856 -30.04301) (xy -40.977995 -29.327928) (xy -40.755235 -29.043196) + (xy -40.547311 -29.118175) (xy -40.346705 -29.360215) (xy -39.73482 -30.348771) (xy -39.121759 -31.642299) + (xy -38.598109 -33.01851) (xy -38.254456 -34.255115) (xy -38.188119 -34.657161) (xy -38.052436 -35.483999) + (xy -37.885403 -36.061977) (xy -37.787625 -36.214509) (xy -37.387538 -36.194805) (xy -36.65344 -35.931868) + (xy -35.702029 -35.483871) (xy -34.650005 -34.908985) (xy -33.614064 -34.26538) (xy -32.974573 -33.815325) + (xy -31.479787 -32.695836) (xy -32.695744 -33.980145) (xy -34.018619 -35.104362) (xy -35.732053 -36.147143) + (xy -37.686182 -37.02833) (xy -39.466181 -37.601332) (xy -40.694454 -37.880849) (xy -41.491288 -37.927126) + (xy -41.931155 -37.699008) (xy -42.088525 -37.155339) (xy -42.03787 -36.254965) (xy -42.029363 -36.184828) + (xy -41.955624 -35.366893) (xy -41.95898 -34.822504) (xy -42.011853 -34.686021) (xy -42.236457 -34.918598) + (xy -42.542778 -35.49961) (xy -42.858286 -36.253972) (xy -43.110455 -37.006605) (xy -43.226756 -37.582425) + (xy -43.228108 -37.622043) (xy -43.38068 -38.120606) (xy -43.7273 -38.209633) (xy -44.121844 -37.904032) + (xy -44.331563 -37.509447) (xy -44.46521 -36.773583) (xy -44.458539 -35.845028) (xy -44.429301 -35.597619) + (xy -44.351076 -34.884324) (xy -44.358117 -34.462188) (xy -44.389561 -34.412903) (xy -44.59993 -34.643908) + (xy -44.904573 -35.222002) (xy -45.230665 -35.974804) (xy -45.505382 -36.729932) (xy -45.6559 -37.315007) + (xy -45.665957 -37.434253) (xy -45.808335 -37.870009) (xy -46.13883 -37.908862) (xy -46.398237 -37.768029) + (xy -46.543249 -37.396164) (xy -46.603663 -36.673176) (xy -46.611702 -36.002987) (xy -46.635738 -35.109082) + (xy -46.698792 -34.498406) (xy -46.787287 -34.301571) (xy -46.788516 -34.302296) (xy -46.97918 -34.595803) + (xy -47.292488 -35.243496) (xy -47.581324 -35.915027) (xy -47.944089 -36.714192) (xy -48.258466 -37.259031) + (xy -48.424496 -37.417204) (xy -48.719456 -37.170405) (xy -48.923092 -36.529131) (xy -49.001379 -35.642063) + (xy -48.971385 -35.027419) (xy -48.926369 -34.320562) (xy -48.954041 -33.909228) (xy -48.985477 -33.866666) + (xy -49.165821 -34.091363) (xy -49.48181 -34.666622) (xy -49.707613 -35.131904) (xy -50.102631 -35.878882) + (xy -50.395934 -36.162378) (xy -50.602052 -36.087818) (xy -51.036553 -35.787192) (xy -51.2625 -35.980752) + (xy -51.283637 -36.674159) (xy -51.240961 -37.053658) (xy -50.704947 -39.185717) (xy -49.734224 -41.012786) + (xy -48.358948 -42.508452) (xy -46.609274 -43.646298) (xy -44.515358 -44.399911) (xy -42.490957 -44.71837) + (xy -40.531915 -44.87024) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "81545d71-9210-4ad2-93ae-b0d0fd15c636") + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "5913d2fd-f8e6-49e9-973f-4fae19c65ae9") + (at 119.34 89.877028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH13" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "0faeca41-a1f4-4423-be90-6c41ffb8e2cf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "daf81768-5666-40f6-a8c2-87e2488e93c1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d80556cf-652b-4136-9604-cbd66cd06000") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "559f8de1-aa31-492e-a3b6-c43b5167734e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e2411eb6-893d-4bc1-808a-5f440501329a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/830b96d0-8364-490e-98dc-ae5381c1d4e0") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "72c091a0-4f60-48ac-b8d9-498c8e40e24f") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "6f53f16b-4942-4035-bad8-774f4c9a6206") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "42ef2617-857d-4131-925f-2f8afcf4a7e0") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "47612108-27d1-4e3c-a8a3-90e4c17f8525") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "874e40dc-c403-400c-a8c5-32c74fb6349c") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "4163f5ae-02df-4b50-a685-e7c84cad1e9a") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "7d09e3df-c210-48c0-bfbc-fe6642593cfc") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "f868fd31-af11-4958-944f-4b4e0e12a896") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "06c87df4-a790-436f-9eb8-b31a865e1303") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "04702554-1e36-42e2-bdde-d2652c14fc36") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "52366eb9-6da7-4323-a46f-a4fbd20be67a") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "40eda70b-fbcd-456a-9441-0696e44f7052") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "8133cd82-ec3d-4f7f-8c7a-5fac9ff6d61b") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "08454711-444d-48bb-a57f-9d0f72a8dc6a") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "da52a69d-320f-4b70-a117-e5d4056cad1a") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "11c3ffbd-f9db-4568-bb5e-40648f14e9b5") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "963e9678-2951-4417-ab31-9dab0e3b6e89") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0d9c4f65-9e9d-431d-8b7d-e9a02a9f4a74") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2362533a-1f20-4620-b142-7e4571aac465") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c8ce9d1-4d91-4bd2-98c6-ec66e351863c") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "85b6f650-0364-4f28-bbb0-2577d2e7df6d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "6afeab7a-c452-408e-a6e8-ebf8f9dd5532") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "ecf1d0fa-c850-44a4-aa64-514a840f9aa3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "1351bf15-8ec0-4f6b-9b44-8c0a3a0d7494") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "8e1cb4d8-89a1-40cb-b708-8b5081d08b1a") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "fc190667-6f5e-4608-8a48-c7d276722730") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "2482d7bc-987d-43c1-8dd1-dd8e83cc7bcc") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "3b86dbe8-0cbe-42ea-b176-2bc89316aa7a") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "Net-(D13-A)") + (pinfunction "2") + (pintype "passive") + (uuid "fd839906-e40d-405b-a2b7-ec5542106a75") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "59e3bd0f-f646-47e4-b0fb-88dcd3c4b484") + (at 164.75 93.675 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D6" + (at -3.725 0.025 -90) + (layer "F.SilkS") + (uuid "25cfe4e1-2bea-4878-8424-f7405e66ce6a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "9347f21c-c6ce-4570-9b32-a2359cc158c6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "23ecbdc9-f5b8-424d-a08c-dc4e8be7ade6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8fba75b8-9656-440d-a7be-a5fb6d32e00a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7cb580e4-c347-48c9-b83a-937ce6ab9302") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "f825e958-4927-41df-b933-38a49f5fddc5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "2b9bd63c-af09-487b-b2dd-e7a9a9d2a9c1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/2f52df6d-8174-4053-8266-16d21df8d266") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2f9ba610-8828-4edb-a082-1ba93ccc5451") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2cb9aa74-6bac-460a-8c8a-b8fefc8eef66") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c21c8d3a-26ad-4921-9ca8-399c6dd28b21") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0a339320-0cb8-4bc2-a508-89e6714c88a4") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dd5b415e-9f17-4a12-b753-c84ac7aee17a") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "912a5283-c82d-4b90-bbc9-78d4d03d0b17") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7f6d1b12-4119-427c-81f3-ce6b1063c6e3") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1ef9f25d-2b83-47b0-b2c0-b203a9257be7") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "71df5722-0769-4c99-837e-1a443a951436") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e6a26301-cccd-40a1-b4f7-42bbda72dc11") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4ecd6954-e81f-4838-9189-f8442390604d") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa15a20a-8f3f-4624-ae85-cd9c0bd88256") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c147d31e-682d-491d-9f68-24784cb22d45") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e849494f-cc11-4e81-94ad-ddc1d92fc23f") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "28772fb3-b0ae-4305-a4a1-df919e4a946b") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6590a3b3-7e72-4f8d-ad9c-d3453cff3134") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2bbe7e37-838d-4b96-9405-4bb24fdc2b97") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "257845d0-1291-4ec5-a06f-7d691a3d8adf") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "babe5cc3-a55d-4007-a79a-97ac83942b2b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "47b5e48a-015d-4590-afc0-700c93d71e5c") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 10 "Net-(D6-A)") + (pinfunction "A") + (pintype "passive") + (uuid "628dd178-b4bd-4c0b-ac3e-f7097150aa8d") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "USB4800-03-A_REVA:Trackpoint" + (layer "F.Cu") + (uuid "5cb0cc9b-508b-491b-8328-2a9820948d80") + (at 66 88.415) + (property "Reference" "TP" + (at -4 -10.25 0) + (unlocked yes) + (layer "F.SilkS") + (hide yes) + (uuid "3e52ebad-9e84-4de9-9656-0d97a8890234") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + ) + ) + (property "Value" "Trackpoint" + (at 0 13 0) + (unlocked yes) + (layer "B.Fab") + (uuid "85f96b54-a0d2-4de9-87af-e79d9008cffb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f1c0a41f-5cf2-4fb2-b1a8-f1771e11038f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "02b0fa8a-88fd-4715-8080-f0d6737c63e1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6fc3d260-de61-49e8-8886-9d3e3fd2a356") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr through_hole) + (fp_line + (start -6.3 -3.4) + (end -2.8 -7.2746) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "387f2186-80e3-490b-941d-a613ec15990d") + ) + (fp_line + (start -6.3 3.4) + (end -6.3 -3.4) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "a739a62b-679e-4b35-a821-d4c37d311664") + ) + (fp_line + (start -2.8 -11.8) + (end 2.8 -11.8) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "1334786d-c5b6-4651-b4d8-a643a826d5bc") + ) + (fp_line + (start -2.8 -7.2746) + (end -2.8 -11.8) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "093785df-6de7-4b64-8f74-5a8a40e99ab7") + ) + (fp_line + (start -2.8 7.1746) + (end -6.3 3.4) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "33ecb70c-743f-4e16-a598-203943ae8ba4") + ) + (fp_line + (start -2.799999 11.7) + (end -2.8 7.1746) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "baff5c3d-de20-4416-b840-54e4f1fbb0a7") + ) + (fp_line + (start 2.8 -11.8) + (end 2.8 -7.2746) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "b5d78111-f305-4026-a62a-3ac9124ef07f") + ) + (fp_line + (start 2.8 -7.2746) + (end 6.3 -3.4) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "10e7dd27-998c-4fa2-8ba9-796fdae08302") + ) + (fp_line + (start 2.8 7.1746) + (end 2.8 11.7) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "4647ce4e-ba10-4326-9109-1732a4bf1ef8") + ) + (fp_line + (start 2.8 11.7) + (end -2.799999 11.7) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "6e88167e-7395-4bee-82a3-795466668872") + ) + (fp_line + (start 6.3 -3.4) + (end 6.3 3.4) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "28762e97-75ee-43d9-8993-ded4f026876a") + ) + (fp_line + (start 6.3 3.4) + (end 2.8 7.1746) + (stroke + (width 0.1) + (type default) + ) + (layer "B.SilkS") + (uuid "4f6b7f85-2672-4ea7-a8b8-5cca5627f417") + ) + (fp_line + (start -6.25 -3.35) + (end -2.75 -7.2246) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "bfdb5c72-677f-44ef-b2f9-4821111a41cc") + ) + (fp_line + (start -6.25 3.45) + (end -6.25 -3.35) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "5341779d-558e-45dd-9bac-e3fb478c6d56") + ) + (fp_line + (start -2.75 -11.75) + (end 2.85 -11.75) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "516c5cd0-7e59-4752-bd65-a006c7d35688") + ) + (fp_line + (start -2.75 -7.2246) + (end -2.75 -11.75) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "7467d71d-2d6c-4ba6-afdc-87bbbeaef978") + ) + (fp_line + (start -2.75 7.2246) + (end -6.25 3.45) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "a625f4ae-d4e7-45dc-b937-f9fa1b27c29b") + ) + (fp_line + (start -2.75 11.75) + (end -2.75 7.2246) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "f7a1a37f-0879-49a4-9808-7d1e5aeadc33") + ) + (fp_line + (start 2.849999 11.75) + (end -2.75 11.75) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "e0df0e40-d85a-4990-880e-677479429632") + ) + (fp_line + (start 2.85 -11.75) + (end 2.85 -7.2246) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "95a26045-3265-4581-bfe4-7ab1f813a198") + ) + (fp_line + (start 2.85 -7.2246) + (end 6.35 -3.35) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "70ecb104-3c04-4bb1-b4d1-a545b79dd6c8") + ) + (fp_line + (start 2.85 7.2246) + (end 2.849999 11.75) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "c65d33bb-a633-4b1b-86e2-684d74bff7c3") + ) + (fp_line + (start 6.35 -3.35) + (end 6.35 3.45) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "3bc648b0-bfd5-4a88-a169-40ed0f2b748f") + ) + (fp_line + (start 6.35 3.45) + (end 2.85 7.2246) + (stroke + (width 0.1) + (type default) + ) + (layer "F.SilkS") + (uuid "a6d83b4d-c42f-4251-bf3f-c03370c91289") + ) + (fp_circle + (center 0 -9.5) + (end 1.099999 -9.5) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "d0657a1d-914d-4f13-8d91-b6fa79a25a62") + ) + (fp_circle + (center 0 0) + (end 4.15 0) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "e89547aa-3e87-477a-86c6-58a4bd13a4b4") + ) + (fp_circle + (center 0 9.5) + (end 1.1 9.5) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "98b4f8f2-c254-4711-bc3c-2749db23b0c8") + ) + (fp_text user "TP" + (at -3.25 -9.75 0) + (unlocked yes) + (layer "B.SilkS") + (uuid "1b5e3dbd-99dc-4468-83d3-607ab44486de") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + (justify left bottom mirror) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "5cc53b8c-2aa5-460c-bb85-bd85cb4ffe08") + (at 121.925 102.7) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H1" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "5980d5f8-1126-4428-8ccb-e5f6b398a467") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "de4b11a2-68fe-4bb5-9369-1e481d15988f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5bd0f801-cd36-406b-87d5-24f77bd81fb3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "86b3a8b2-bf6b-4aae-9b37-0fae310c4b39") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b3857675-a383-4115-be64-fa940cee30e9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/8edd364a-fc97-4a34-ba7c-44bf004b0943") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "717ca82a-41c0-47d4-aa1b-3e2a92196d01") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "bdc24341-3a0a-4e90-9a57-a045805c2d04") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "d3fddc34-d9f5-42ee-bf6d-f59aa87c098a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "3d2c8b44-1146-4157-901b-b42b8fe329ec") + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "63bab1bf-073f-4a7d-922a-9c922ea34a21") + (at 146.625 106.025 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D12" + (at -4.125 -0.025 -90) + (layer "F.SilkS") + (uuid "aeeb8493-23f2-42dd-92b3-3633ad6c7219") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "2b079284-9aae-4875-bfcf-e98066ab6c49") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a178488e-d73f-4c02-ad41-fd62d8b7fa90") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b69fe1c4-24a8-4468-a422-5d92feffee67") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "86126462-d4df-47bd-8ed9-b8d6dbf14cee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "dc819b2b-6897-4976-805f-dbc4334c82e6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "fa0791af-1c1e-4e32-9900-56649301d1a3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/98d1389f-9c3e-47c0-842a-59ed2a1640e7") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "864e12c3-a14d-4052-9f76-1a09a8601b9e") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "681f8c01-2c78-44fa-986e-30e75bdba7c2") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "88b8ca33-0d37-46eb-9161-429fb606b1f2") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f80429a2-fd6c-477f-9240-947dd348cfcf") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "67650109-52de-41f9-9c7c-d39002fe2c01") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dc28019c-2313-436d-a9e6-e03808307aa5") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ddbd018b-9819-46ac-aac5-43eb5cd33b3c") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fc3addcb-f894-4966-af7b-1a1ce95d8338") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "be7de98e-0b48-44ec-afcc-5002f31a0c68") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d37cc6b3-3fd3-4cf1-b7e0-bc12ff9dab22") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2fcf7073-a131-4619-9c04-78daf219d1f9") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7cb050b0-7219-4dec-9cb2-d7cbb0b1dfc6") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d1c845ab-5251-4001-b1a5-0f77f514d182") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "36ccd1e5-8622-408a-92e5-875d32078a90") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fda0056d-f195-4d64-8298-6e864b0dd0ab") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0c12e0c7-e8fc-4a9a-ac1f-0551ba5f13d1") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "53a42550-4307-4627-9cd2-cc9800cc43b0") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "95b0efb2-0d11-4cd2-87a7-3c3684d8e729") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "3eb61351-f359-46d7-bc60-4de680aa7d95") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "d2a3bfc9-2da5-43e6-ba7e-53867d963fef") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 16 "Net-(D12-A)") + (pinfunction "A") + (pintype "passive") + (uuid "8184fa75-d1a4-421e-930a-0e753959a9ee") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "66d892aa-a80a-4715-b220-9e5a9a59f54f") + (at 62.283158 127.114659) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH17" + (at 0 -2.75 0) + (layer "Dwgs.User") + (hide yes) + (uuid "0fd46a95-e574-4485-8389-47391d041537") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 0) + (layer "F.Fab") + (uuid "8497321e-90b7-48e1-bf70-9ae988e8711d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e945f38c-d169-4820-9094-a4bb1f3437ee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b8a8c6c8-fe08-4c82-8f43-4d4905959343") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "134445cf-45c7-43f9-99ea-15f816da02fb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/1d889eae-7a79-4fcc-9b37-f34b51a69844") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start -9 -8.5) + (end 9 -8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "043ab888-ce6e-4aac-94f2-909644298f57") + ) + (fp_line + (start -9 8.5) + (end -9 -8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "0fd1c142-3142-4b60-bd4e-93fedbed5da2") + ) + (fp_line + (start 9 -8.5) + (end 9 8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "a01eed5d-2a6a-44c8-a0f3-25fdfdb1dd82") + ) + (fp_line + (start 9 8.5) + (end -9 8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "2713f8a9-93e7-464e-8169-b209f05a780d") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "9ed601b1-496e-4c77-940a-32483ebbf866") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "234b6f6c-511c-47ea-a0c8-e82e5854c6f0") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "9fc34cac-037e-473f-bd54-3ff13a1b0616") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "37b331bc-b8b1-401a-87a5-de9a8e7404d7") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "53ec56b6-608c-40b4-91b0-e8383d01798d") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "b53bc060-afd2-476c-b8b5-ac0d2927b760") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ee103df9-48a3-42bd-8558-c5e2ea663597") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "7ecbbbfc-c1d6-4932-8cd4-453a3b5f35ad") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "43da7917-ba87-4fe3-b4a4-d8190e1e9253") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "8487e863-1c3a-466b-be12-fbb6cc8a6a5e") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "816c8820-9db3-4d6c-8a02-ae4b9a094656") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "c6717ec1-b1b3-4a89-a93a-daaee6cc4cc7") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "28ed0d32-6a18-4e06-b178-0863615cf5bc") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b98b2d8b-474c-4fc3-bd46-3c09b23bf390") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "57fc374e-0e0b-42b7-9b0f-ed1cd8e55199") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "958f1620-9d52-4715-86a6-4e66d606f810") + ) + (fp_text user "18x17 spacing" + (at 0 -7.6 0) + (layer "Dwgs.User") + (uuid "530d826a-9033-44a7-b8db-25686c2a0f37") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 0) + (layer "Eco1.User") + (uuid "57902f8a-3685-4ba1-b7a4-d385ffccaebf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 0) + (layer "F.Fab") + (uuid "bfc3601e-8eac-4a8c-8eae-cc7c15a6805b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0 180) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "d4bb3258-cd51-42f4-9591-f3927cd800bc") + ) + (pad "" np_thru_hole circle + (at 0 0 180) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "0f363e8e-f52a-44ac-9319-9f7d356490ad") + ) + (pad "" np_thru_hole circle + (at 5.5 0 180) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "5401c231-a21b-473d-a3cb-4eded9624c1d") + ) + (pad "1" thru_hole circle + (at -5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "972cddea-9cdf-44d1-ac03-9d3a39f3c3d8") + ) + (pad "1" thru_hole circle + (at 5.000001 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "64b9d3a7-295d-4f41-9529-a9f52d3e7418") + ) + (pad "2" thru_hole circle + (at 0 5.9 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "Net-(D17-A)") + (pinfunction "2") + (pintype "passive") + (uuid "850e23cf-3029-4b91-86fd-3a442c9c4a50") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "71764fe2-ad0a-48e8-96e6-6bbe41588887") + (at 82.84 96.986028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH15" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "d71394ec-7000-49b9-b80b-72bb0ded7e28") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "c6fb81f1-31dd-4ca4-bf0d-0330584ce291") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ceb2e7c6-6fd6-4847-a5da-b4f01a1971d4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a0014ef2-b071-4a36-9373-ff864b3e6db4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "79bca22b-1dfb-4cc3-9371-7df9570d3875") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/900e7afd-0d62-4b53-a59b-7751b19b3fcf") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "9744f820-2bb9-4e6e-951b-b3381e33c628") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "bd111c88-6144-4fc3-995f-b99bed7ff261") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "ebe42020-a9cf-41c0-8168-750c35a070c2") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "57d038d6-9bb1-4bfe-9933-cec3f2823586") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "7a700626-c125-446d-b87c-6b73325ea2cb") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "474dfe86-f85f-4c9c-9242-47d36ee15f47") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "e09ac859-2a81-4378-a6fd-933bf59ba7d0") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "fd6687ee-f91d-4a3e-a5db-339e9c3679e8") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "72adddd4-e5df-4d2f-a9ff-b3a2cd1cad15") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "3155266f-022b-4f85-ab61-aa6fd9c484b1") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "204e57e6-b45f-425e-85e8-0058a629fdc1") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "c8a92d92-db9f-45d7-badb-e20b67ffc76c") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f1e4a7cc-139b-427d-a950-16d21359ac77") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "0766bc19-1a1a-4c03-aa3a-7c7579a730e9") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "74806934-2ce3-4e49-bf34-3a2c14bd3cc6") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "9f30dc63-f947-4209-b0eb-94a20ce3f222") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f228b217-397a-4291-a2b0-0fd340a34725") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "42c59dcf-6032-446c-a7e5-8afb675ea404") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a257069c-b161-455c-ae3a-247ef25419f5") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "50307709-b28d-42ea-a87e-3c946c063a36") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "e86f8367-311a-4f0e-918f-c9ea649da871") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "3da98c45-4918-4e30-bf1f-d1a192f1f559") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "e278e1a4-5f0a-4c96-865d-058aed819820") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "7de7b933-7b15-48f6-9bdc-c2089e36bb10") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "c25eed58-d1f1-41bb-a000-3c8316cb08ab") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "577ced1a-1edb-42e3-b7ac-5bb1b4e1fae1") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "cbea6397-ea3e-4b61-b542-6e51b1fcc206") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "0778df84-6b41-4318-90bd-3aa62352a7be") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 19 "Net-(D15-A)") + (pinfunction "2") + (pintype "passive") + (uuid "5dabb97b-78e2-44be-b99e-c591751f74a6") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "72fc73cd-3171-44f2-a7f0-60ecf14e3555") + (at 59.575 103.975) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H1" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "47f3e2ea-e599-4e91-9e25-acb91b5e11d0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "17ac53a7-2357-42cf-8f9e-1b104e6d007a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7ac1952c-d7a4-4b2e-a646-9edf29d00540") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bc88a698-8303-40c8-a708-d941110050a9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9705e8b8-9b4e-47da-9ebc-0fb67c9e72ad") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/8edd364a-fc97-4a34-ba7c-44bf004b0943") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "ea290a8e-4522-4736-ac6d-73e310ea22ee") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "f57c7e5e-f710-4f1d-a059-83d1e079d8ab") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "964ee415-c1e1-464f-b339-a56a9325c1d1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "fab17c9d-b921-437b-beb6-b33d281c6eaf") + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "758b4fd4-e18f-4253-8cc3-983ad17a0894") + (at 174.15 118.925) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H6" + (at 0 -4 0) + (layer "Cmts.User") + (hide yes) + (uuid "835dac00-277b-4f09-a824-f40f0026e825") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "f5fec6a8-b6cc-4bab-aa32-0573bea04b05") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b7c1c21d-1053-4ea7-8846-454d76f058b7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f55d40f8-6042-4379-aa03-c18ea152b16d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4971073d-dcc5-462d-b525-833cb1bee306") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/fbd3a8d4-0341-4e15-8db2-75d48ae8ad35") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "ce100007-76fc-4394-a9f8-f771aa64b1eb") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "444c2684-d84a-4664-aff8-f6f58b8f71ff") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "49fc30d9-2a2e-4f42-b8ce-3e7e0dbfd5e5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "d886f13f-609f-4080-8e6f-76ee05f92f01") + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "77fa20cc-95f6-4c4b-b46c-eefebee8e18a") + (at 128.45 97.575 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D13" + (at -4.075 0 -90) + (layer "F.SilkS") + (uuid "cd6b8785-d526-4d29-92b0-cd39637d738f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "38b2d626-da1b-49d0-8076-3dfe23f16480") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e5cb91cb-bd1e-47c0-aab9-06d60e64b438") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "63c8fd89-ca4f-4dac-9dd5-4b0cb55c7422") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ed134431-9194-4b51-81d0-ef1a91abfc56") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "4d1d979f-9702-4d61-9b88-0bd995929a77") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "90df332b-4cd7-4674-a4ba-d07b0c04ec3a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/1f44bb20-cf94-446b-b48a-f05455ab4773") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2610e38b-76df-4380-916b-e6bbfca00051") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "31049aba-1ae1-437e-81c5-4c816e442218") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f906d5aa-805a-4004-a3b1-60795c3aadd6") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "aea44d6e-e44f-4439-8d29-6dcfcb34a75a") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "16c268cb-1672-4995-a3b2-a3f5a95de60d") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6d0b288f-20d2-48f8-9384-7365be896f4c") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cf2b666c-0d0e-4ffb-baad-970d9350d9c3") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1bee1b01-e769-43f4-a1cc-327df7a4dcd8") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a5ff9bc-e951-4ee5-b230-1dc58caa8640") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "038aab75-fe6d-47d8-822e-51c987594b3d") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eefdbde9-f84c-4be6-a81a-1496a050bb0b") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5999862d-91c6-4b18-aef0-87af0762750b") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "81430ce4-a1f3-4d29-b92c-ed8612220152") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8e9bb2a1-6aa4-46b4-b38c-28224add18ca") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0afd156b-95ea-4c1b-aee7-b0b3fb31eb15") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8197b189-8d40-4431-ba73-08fdc5dfe168") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fb0cf661-2e27-4a2f-8632-65d8a86b4c23") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f0c70419-39b0-49ef-b76d-9fc6624900c4") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "296217bb-a969-4281-9ddb-2184c862e885") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "eb99324b-9484-4bcd-8669-1851e63a6b96") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 34 "Net-(D13-A)") + (pinfunction "A") + (pintype "passive") + (uuid "7bea5262-5d21-4c96-940d-ea5e3d5e70e6") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "8059c85f-b8c9-41c4-acfa-92661367da81") + (at 91.925 61.525 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D5" + (at -3.6 0 -90) + (layer "F.SilkS") + (uuid "787bcc30-1e89-436f-9b8e-25c29d1a1f13") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "09dda01f-b4be-4188-a1ea-d1b2c2982fac") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e71ff1e6-0a16-4694-9851-89751f349c36") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a79d5bfe-cdad-4214-b9ae-515de986b8c7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0980c39e-be31-453b-b108-743beff3383b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "a3b5bd9e-a76e-443a-ab18-547b293aa50f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "a0234f26-f003-47fd-b974-c850fe98a6eb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/baaa4cf8-49d1-4f9e-909f-a1bd7eb28437") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8130f90e-60df-468d-bd46-6cbfa33d28a1") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8928c031-b3f2-4e0f-8705-9ac49d267c3a") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5c4e814b-4dac-4395-90fe-41d7dbf75c16") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a0fa5591-ef68-4bec-999a-91503492ff8d") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2760b454-e25c-42e2-a4af-ffc9b8f6265f") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "70feaa7c-2d2a-4b52-9ded-077cab1195f3") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "042707f0-541e-4bb4-a1c2-fe6f3530b67b") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9066c3bd-a22b-43ec-bb0c-9b3b0492dfc3") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "49832e00-27dc-484e-aa88-44810845e0da") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d5b222bc-1a82-484b-b7f9-7d9b4b02e4d8") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ef073532-ac85-4c92-b972-7d9c8e34a868") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b5599ef2-29db-4f19-9164-5914a0a72726") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5e2e91ba-8aed-4365-89fb-092f25b3bbae") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3461b555-a4e1-4e9e-a6fa-8b3c0d6089b9") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5cdddc0d-46ed-4cb5-ba6a-83ace2682681") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bb32b326-97ad-4c37-8210-59891e21a344") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68eba517-c667-4fc3-9320-d10d85d633b6") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "322e8bc3-4b68-40b5-8aa2-76d2c79f6bc8") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "8e8f8f53-40b9-4ddb-bf6c-b5348dcb9d2f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "fd08903a-b1d4-481b-abbd-66d147bdfc6a") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 9 "Net-(D5-A)") + (pinfunction "A") + (pintype "passive") + (uuid "0709cdd5-0ec3-403f-b508-9f201ef19938") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3mm" + (layer "F.Cu") + (uuid "8190bbf9-c8b7-4803-a3d4-aa23b72e549a") + (at 59.35 48.925) + (descr "Mounting Hole 3mm, no annular") + (tags "mounting hole 3mm no annular") + (property "Reference" "H5" + (at 0 -4 0) + (layer "Cmts.User") + (uuid "0e0e52cb-4dc3-4aec-b816-9390b91e9675") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MountingHole" + (at 0 4 0) + (layer "F.Fab") + (hide yes) + (uuid "a927c4f9-3abc-4816-98c7-e8081494869a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "424507ec-fa06-4942-806e-11bd289d630f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2281099f-8121-463d-a448-479277c52aa9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "80bb60f5-6be3-4f2f-ad3a-9fc8acd30d4a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "MountingHole*") + (path "/bdbd7f0d-ee59-4735-919a-760f5a5fa2d0") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 3 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "13a680f3-06f1-4dca-b397-7fb0cedbe16b") + ) + (fp_circle + (center 0 0) + (end 3.25 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "dcc770ff-4829-4a39-9c54-6b3624ac50fe") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "b14620b7-cb3b-42c3-939b-e4b74e35f987") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3 3) + (drill 3) + (layers "*.Cu" "*.Mask") + (uuid "c957350b-aa9b-4836-8664-caaa991d95cc") + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "86e0cfb5-1343-462e-a89a-b92d0decd5a1") + (at 91.9 79.375 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D10" + (at -4.1 -0.075 -90) + (layer "F.SilkS") + (uuid "945cc74f-11dd-4fb5-822f-2dea46242dd5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "bbe97568-5d2b-4db0-b58d-7edd5ee3cbd6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ed6bbef9-de52-4e45-b411-5b5ca09c854b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a7a7c257-5a0a-4759-9028-2ce069afb626") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bc98ff79-02a3-4324-9302-7781c9a938a7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "4bc3feeb-d0b4-46e0-a5d0-bad69536bc94") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "fc0edea0-fdf6-4292-aaaa-118c39f284d6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/79fdb828-1c03-429a-9652-1abe70ac8a96") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "088877fe-a4de-4a1f-acec-62daef1d506c") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d801cd48-0941-4cd7-83b6-e484ff6507e0") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c934fbe3-3d4d-49dc-abc1-102d467ee357") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "02d616c1-8745-4fbd-b9c9-a22b9e441e9a") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2f6823de-cd61-4db1-b45a-5eeafcdfd37e") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4017c688-8c6c-4d2a-8448-77ace93f05e9") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8313063a-2ef1-496b-8759-1175cf5b4b72") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "95948607-802e-44dc-a126-2b6632af1f3b") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "71c2ab30-e322-43a4-84f5-323eecd74f27") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fb2120cb-ab27-4b82-9b6b-cd3381f0c621") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ea42f466-0b34-4bc9-b6fc-1796bef50ccd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "778679dd-ccbc-44d3-98e7-c45bce3ae855") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c5264e3c-2038-401b-9c2a-639ab13ad04b") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3b2d9baa-d68c-4094-94bb-36ab3babbfd9") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5580a46e-03cc-4a29-8ca0-2752202c20e6") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6f6db55b-39b2-4a33-a0a6-c434f23922db") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "10e860c0-5e76-400c-b9b4-1d17de5ef278") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2791f35a-5216-4d95-b496-0687c0808518") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "49bb1744-40d3-4559-977d-adca6938e703") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "4351952e-9397-4109-9c90-4c0f92be989b") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 14 "Net-(D10-A)") + (pinfunction "A") + (pintype "passive") + (uuid "0f57c9ab-f5d8-46de-bf35-dd0747027bc5") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "8d92fad2-7294-42aa-b884-ad172ef50f3a") + (at 101.065 58.626028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH4" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "b3f3fea1-287e-40fa-a94c-001f551bb773") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "1bd7e07a-f658-4883-a45a-76977107bce8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c178461d-70f0-4e07-998b-a2660736cf95") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a64f3233-afc7-4978-b47a-be46b7747018") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ea3a3137-dff1-47ff-af8a-d9f000c85824") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/4cf578f2-eed9-4c33-8187-b54881aedbeb") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "4e7a94cc-961e-46bf-b9e6-0cab2bff2632") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "554be43f-928e-4283-a05b-9c026fa219aa") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "b4ebee01-da39-45b7-9581-a98534fa0745") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "33b703c1-541d-44b2-add3-7ae2ce9d139c") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "582a2416-f353-48fb-b0bc-673908f0a12e") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "aefef757-555a-4e25-86e3-d2f0f7dbc686") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "99500579-fbd3-4bde-ba1b-934c1e15d10d") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "1a67853e-f6e6-4fb6-83c6-17797833d961") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "1d016fdc-5eda-41aa-9042-1958ba2ee7b1") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4ed61f4d-f38b-416b-b6b8-6909d7ab7908") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "6298f151-e29d-4863-9cf3-981fa656a898") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "e09d1749-9ace-4df4-b3c0-58ee21335aa1") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "505c1c0a-1985-4952-aaef-3a0e2f90739a") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "6a431890-771e-4f9b-b138-e685dd674600") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "97aa7b1e-dd1d-49a5-8812-b61101664d4f") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f8a68587-c993-481e-8284-046aa6d780e2") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "37840f6b-9ecb-4f32-ba03-318c088bf674") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bcd0409e-1cf2-47da-a3fa-bbede2df0131") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e017b6be-c64b-4f8a-863c-8555cc06529a") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3c6af65d-bc80-4d83-8aaa-e73e777c7992") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "dfd46739-325f-4a22-ba5b-fa3564feb7cb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "c753704f-80b2-4a9e-a0ac-e07ca8a40b9c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "d0beec18-aea4-4a7a-87af-46de7c52bf9d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "3b513ac0-91a3-4e21-b3c8-ac04d5d0c1e5") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "eab54cc9-5197-4fad-8f29-eac5e6ead553") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "43a612d3-83f6-4117-9235-d93b4177e7ec") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "d0f60776-7b2f-4ed4-95a2-67429cd89ae0") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "44bfb81e-367e-4665-a320-5e6bb66a4def") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "Net-(D4-A)") + (pinfunction "2") + (pintype "passive") + (uuid "cf17778f-19f5-42a1-b4a9-2d98fb71ef10") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:WEEE-Logo_4.2x6mm_SilkScreen" + (layer "F.Cu") + (uuid "8fe01ac0-c3e7-4fe6-b7ed-2dd91ddccff3") + (at 115.575 103.7 180) + (descr "Waste Electrical and Electronic Equipment Directive") + (tags "Logo WEEE") + (property "Reference" "REF**" + (at 0 0 180) + (layer "F.SilkS") + (hide yes) + (uuid "94180cd7-69cb-4fdd-82d4-dd1db53c09c4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "WEEE-Logo_4.2x6mm_SilkScreen" + (at 0.75 0 180) + (layer "F.Fab") + (hide yes) + (uuid "8ecc5b35-8e0f-434d-ae81-2bc1ba658627") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Symbol:WEEE-Logo_4.2x6mm_SilkScreen" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "17aef5f0-3b0b-4873-97d6-2897c6a9338c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c8ea0047-7019-45c0-9bd4-e6c3e181b3ca") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0ab431a3-fde0-4e73-a6b3-cf7e6847153e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.747822 3.01782) (xy -1.772971 3.017822) (xy -1.772969 2.150198) (xy 1.747822 2.150199) (xy 1.747822 3.01782) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ef71c2dc-3b3f-465e-b7d1-4dd04fcf6014") + ) + (fp_poly + (pts + (xy 2.124431 -2.93515) (xy 2.123811 -2.848069) (xy 1.672086 -2.389109) (xy 1.220361 -1.930147) (xy 1.220033 -1.719527) + (xy 1.219703 -1.50891) (xy 0.944609 -1.508912) (xy 0.937522 -1.45547) (xy 0.934839 -1.431113) (xy 0.930314 -1.385241) + (xy 0.924189 -1.320593) (xy 0.916714 -1.239908) (xy 0.908119 -1.145919) (xy 0.898654 -1.041362) + (xy 0.888559 -0.928974) (xy 0.878074 -0.811491) (xy 0.867446 -0.691652) (xy 0.856907 -0.572189) + (xy 0.846715 -0.45584) (xy 0.837095 -0.345342) (xy 0.828299 -0.243429) (xy 0.820568 -0.152844) (xy 0.814143 -0.076311) + (xy 0.809264 -0.016581) (xy 0.806175 0.023626) (xy 0.805119 0.041559) (xy 0.805118 0.041644) (xy 0.812829 0.056036) + (xy 0.835983 0.085748) (xy 0.874895 0.131131) (xy 0.929884 0.192529) (xy 1.001264 0.270288) (xy 1.089349 0.364754) + (xy 1.194454 0.47627) (xy 1.316893 0.605188) (xy 1.351311 0.641287) (xy 1.897136 1.213418) (xy 1.808881 1.301434) + (xy 1.737486 1.223758) (xy 1.711365 1.195688) (xy 1.670563 1.152273) (xy 1.617778 1.096365) (xy 1.555693 1.030808) + (xy 1.487002 0.95844) (xy 1.414396 0.882112) (xy 1.37096 0.836524) (xy 1.289416 0.751119) (xy 1.223503 0.682708) + (xy 1.171542 0.630053) (xy 1.131856 0.591905) (xy 1.102756 0.56702) (xy 1.082569 0.554155) (xy 1.069612 0.552068) + (xy 1.0622 0.559512) (xy 1.05866 0.575248) (xy 1.057303 0.598023) (xy 1.057121 0.604239) (xy 1.047703 0.647061) + (xy 1.024498 0.698818) (xy 0.992136 0.751328) (xy 0.955252 0.796402) (xy 0.940494 0.810329) (xy 0.864767 0.859047) + (xy 0.776307 0.886308) (xy 0.698098 0.892771) (xy 0.609468 0.880576) (xy 0.527614 0.844813) (xy 0.455162 0.78672) + (xy 0.441797 0.772262) (xy 0.392919 0.716735) (xy -0.452672 0.716734) (xy -0.452674 0.892773) (xy -0.67901 0.892773) + (xy -0.679012 0.810531) (xy -0.68185 0.754388) (xy -0.691393 0.715415) (xy -0.702989 0.694219) (xy -0.711278 0.67905) + (xy -0.718373 0.657062) (xy -0.724748 0.624989) (xy -0.730872 0.579569) (xy -0.737219 0.517548) + (xy -0.744252 0.435662) (xy -0.749065 0.374747) (xy -0.771164 0.089342) (xy -1.313565 0.638805) + (xy -1.411637 0.738228) (xy -1.505784 0.833817) (xy -1.594283 0.923811) (xy -1.67542 1.006457) (xy -1.747469 1.080001) + (xy -1.80871 1.142684) (xy -1.857427 1.192752) (xy -1.891896 1.228448) (xy -1.91038 1.247993) (xy -1.940741 1.278944) + (xy -1.96607 1.300529) (xy -1.979695 1.307723) (xy -1.997095 1.299299) (xy -2.022458 1.278246) (xy -2.031057 1.269671) + (xy -2.067514 1.231621) (xy -1.866802 1.02766) (xy -1.815598 0.975698) (xy -1.749567 0.908821) (xy -1.671619 0.829952) + (xy -1.584637 0.742016) (xy -1.491524 0.647941) (xy -1.395181 0.550658) (xy -1.298492 0.453093) + (xy -1.229134 0.383145) (xy -1.123703 0.27655) (xy -1.035127 0.186308) (xy -0.962281 0.111192) (xy -0.904023 0.049987) + (xy -0.859223 0.001465) (xy -0.837019 -0.023873) (xy -0.658724 -0.02387) (xy -0.636399 0.261556) + (xy -0.629669 0.345217) (xy -0.623158 0.421727) (xy -0.617233 0.487081) (xy -0.612268 0.537281) + (xy -0.608627 0.568329) (xy -0.60746 0.575271) (xy -0.600837 0.603563) (xy 0.348636 0.603563) (xy 0.354974 0.524607) + (xy 0.37411 0.431314) (xy 0.414156 0.34879) (xy 0.472582 0.280038) (xy 0.546868 0.228064) (xy 0.630254 0.196864) + (xy 0.657302 0.182228) (xy 0.670842 0.150818) (xy 0.671129 0.149434) (xy 0.672753 0.136176) (xy 0.670744 0.122595) + (xy 0.663145 0.106182) (xy 0.647984 0.084411) (xy 0.623314 0.054768) (xy 0.587164 0.014732) (xy 0.537582 -0.038215) + (xy 0.472599 -0.106591) (xy 0.468401 -0.110993) (xy 0.398508 -0.184387) (xy 0.324202 -0.262561) + (xy 0.250585 -0.340135) (xy 0.182771 -0.411725) (xy 0.12586 -0.471949) (xy 0.113168 -0.485413) (xy 0.064513 -0.53618) + (xy 0.021292 -0.579625) (xy -0.013394 -0.612761) (xy -0.036444 -0.632595) (xy -0.044183 -0.636952) + (xy -0.055721 -0.627828) (xy -0.08271 -0.6028) (xy -0.123021 -0.563948) (xy -0.174529 -0.513357) + (xy -0.235109 -0.453112) (xy -0.302636 -0.385296) (xy -0.357824 -0.329436) (xy -0.658724 -0.02387) + (xy -0.837019 -0.023873) (xy -0.826752 -0.035588) (xy -0.80547 -0.062401) (xy -0.794253 -0.080193) + (xy -0.791754 -0.08843) (xy -0.7927 -0.10641) (xy -0.795574 -0.14711) (xy -0.800186 -0.208181) (xy -0.806356 -0.287287) + (xy -0.813898 -0.382086) (xy -0.822623 -0.490235) (xy -0.832343 -0.609388) (xy -0.842873 -0.737207) + (xy -0.851364 -0.839363) (xy -0.899396 -1.415325) (xy -0.775804 -1.415326) (xy -0.775274 -1.402897) + (xy -0.77277 -1.36789) (xy -0.768495 -1.312788) (xy -0.762653 -1.240057) (xy -0.755444 -1.152187) + (xy -0.747066 -1.051649) (xy -0.737723 -0.940923) (xy -0.728757 -0.835797) (xy -0.718602 -0.716517) + (xy -0.709143 -0.603919) (xy -0.700596 -0.500695) (xy -0.693179 -0.409526) (xy -0.687106 -0.333104) + (xy -0.682602 -0.274118) (xy -0.679873 -0.235251) (xy -0.679116 -0.220156) (xy -0.677935 -0.210762) + (xy -0.673256 -0.207034) (xy -0.663276 -0.210529) (xy -0.646192 -0.222802) (xy -0.620197 -0.245403) + (xy -0.583491 -0.2799) (xy -0.534267 -0.327838) (xy -0.470726 -0.390776) (xy -0.403305 -0.458032) + (xy -0.127601 -0.733523) (xy -0.129533 -0.735593) (xy 0.05271 -0.735594) (xy 0.061016 -0.72422) + (xy 0.084267 -0.697437) (xy 0.120135 -0.657708) (xy 0.166285 -0.607495) (xy 0.220394 -0.549255) + (xy 0.280126 -0.485453) (xy 0.343152 -0.418551) (xy 0.407144 -0.35101) (xy 0.469765 -0.285291) (xy 0.52869 -0.223854) + (xy 0.581588 -0.169163) (xy 0.62613 -0.123678) (xy 0.659978 -0.089861) (xy 0.680813 -0.070172) (xy 0.686494 -0.066163) + (xy 0.688368 -0.079109) (xy 0.692254 -0.114868) (xy 0.697941 -0.171197) (xy 0.705219 -0.245862) + (xy 0.713869 -0.336618) (xy 0.723677 -0.441238) (xy 0.734434 -0.557474) (xy 0.745921 -0.683092) + (xy 0.755093 -0.784382) (xy 0.766828 -0.915721) (xy 0.777666 -1.039446) (xy 0.787428 -1.153318) + (xy 0.795939 -1.255089) (xy 0.803005 -1.342513) (xy 0.808451 -1.413347) (xy 0.812092 -1.465347) + (xy 0.813747 -1.496268) (xy 0.813558 -1.504297) (xy 0.803668 -1.497145) (xy 0.778476 -1.474159) + (xy 0.74019 -1.437563) (xy 0.691011 -1.389578) (xy 0.633138 -1.332436) (xy 0.568778 -1.268353) (xy 0.500129 -1.199562) + (xy 0.429395 -1.128284) (xy 0.358778 -1.056747) (xy 0.290482 -0.987169) (xy 0.226703 -0.921782) + (xy 0.169649 -0.862808) (xy 0.121521 -0.812472) (xy 0.084524 -0.773002) (xy 0.060852 -0.746617) + (xy 0.05271 -0.735594) (xy -0.129533 -0.735593) (xy -0.230411 -0.843706) (xy -0.282767 -0.899621) + (xy -0.341535 -0.962052) (xy -0.404385 -1.028557) (xy -0.468994 -1.096702) (xy -0.533041 -1.164053) + (xy -0.594203 -1.228172) (xy -0.650153 -1.286628) (xy -0.698571 -1.33698) (xy -0.73713 -1.3768) + (xy -0.763509 -1.403649) (xy -0.775382 -1.415092) (xy -0.775804 -1.415326) (xy -0.899396 -1.415325) + (xy -0.911402 -1.559274) (xy -1.511938 -2.190843) (xy -2.112476 -2.822412) (xy -2.112034 -2.910683) + (xy -2.111591 -2.998961) (xy -2.014583 -2.895334) (xy -1.960291 -2.837537) (xy -1.896192 -2.769631) + (xy -1.824018 -2.693429) (xy -1.745492 -2.610731) (xy -1.662351 -2.523347) (xy -1.576319 -2.433085) + (xy -1.489132 -2.341752) (xy -1.402511 -2.251151) (xy -1.318197 -2.163091) (xy -1.237912 -2.079385) + (xy -1.163389 -2.001833) (xy -1.096355 -1.932242) (xy -1.038539 -1.872424) (xy -0.991679 -1.824181) + (xy -0.957496 -1.789324) (xy -0.937725 -1.769656) (xy -0.933389 -1.765886) (xy -0.933092 -1.779009) + (xy -0.934729 -1.812611) (xy -0.938023 -1.86212) (xy -0.942681 -1.922964) (xy -0.944682 -1.94727) + (xy -0.959575 -2.125048) (xy -0.842955 -2.125049) (xy -0.836934 -2.096757) (xy -0.833862 -2.074383) + (xy -0.829548 -2.032285) (xy -0.824489 -1.975823) (xy -0.819181 -1.910365) (xy -0.817345 -1.886138) + (xy -0.811927 -1.816579) (xy -0.806459 -1.751982) (xy -0.801487 -1.69845) (xy -0.797559 -1.662091) + (xy -0.796674 -1.655493) (xy -0.793334 -1.641944) (xy -0.786102 -1.626086) (xy -0.77344 -1.606139) + (xy -0.753811 -1.580327) (xy -0.725678 -1.546871) (xy -0.687502 -1.503993) (xy -0.637744 -1.449915) + (xy -0.574871 -1.382863) (xy -0.497343 -1.301057) (xy -0.418249 -1.218051) (xy -0.339563 -1.135904) + (xy -0.266112 -1.059831) (xy -0.199726 -0.991676) (xy -0.142227 -0.933288) (xy -0.095452 -0.886521) + (xy -0.061224 -0.853218) (xy -0.041374 -0.835233) (xy -0.037139 -0.832557) (xy -0.026003 -0.842259) + (xy 0.000027 -0.867559) (xy 0.038431 -0.905916) (xy 0.086672 -0.9548) (xy 0.142228 -1.011665) (xy 0.182408 -1.053094) + (xy 0.392169 -1.27) (xy -0.226339 -1.269998) (xy -0.226337 -1.508913) (xy 0.528118 -1.50891) (xy 0.528118 -1.40246) + (xy 0.666435 -1.540346) (xy 0.764553 -1.638162) (xy 0.955643 -1.63816) (xy 0.957473 -1.622728) (xy 0.966723 -1.614134) + (xy 0.989047 -1.610386) (xy 1.030105 -1.609511) (xy 1.037374 -1.609504) (xy 1.119109 -1.609505) + (xy 1.11911 -1.828829) (xy 1.037376 -1.747819) (xy 0.991271 -1.698572) (xy 0.963695 -1.660841) (xy 0.955643 -1.63816) + (xy 0.764553 -1.638162) (xy 0.804752 -1.678234) (xy 0.804752 -1.801046) (xy 0.805137 -1.85755) (xy 0.806902 -1.893495) + (xy 0.810949 -1.913468) (xy 0.818199 -1.922061) (xy 0.829131 -1.923862) (xy 0.841287 -1.926502) + (xy 0.850272 -1.93709) (xy 0.857174 -1.959621) (xy 0.863075 -1.998093) (xy 0.869065 -2.056502) (xy 0.870987 -2.077896) + (xy 0.875148 -2.125049) (xy -0.842955 -2.125049) (xy -0.959575 -2.125048) (xy -1.119109 -2.125051) + (xy -1.119109 -2.238218) (xy -1.051316 -2.238219) (xy -1.011662 -2.239304) (xy -0.990118 -2.244547) + (xy -0.98748 -2.247666) (xy -0.848616 -2.247666) (xy -0.841308 -2.240538) (xy -0.815992 -2.238336) + (xy -0.798908 -2.238218) (xy -0.741881 -2.23822) (xy -0.529221 -2.238218) (xy 0.885302 -2.23822) + (xy 0.837456 -2.287214) (xy 0.763148 -2.347676) (xy 0.671183 -2.394308) (xy 0.56 -2.427751) (xy 0.449529 -2.446247) + (xy 0.377227 -2.45488) (xy 0.377227 -2.36396) (xy -0.201188 -2.363962) (xy -0.201188 -2.467106) + (xy -0.286066 -2.458502) (xy -0.345369 -2.451244) (xy -0.408553 -2.441621) (xy -0.446386 -2.434749) + (xy -0.521832 -2.419593) (xy -0.525526 -2.328905) (xy -0.529221 -2.238218) (xy -0.741881 -2.23822) + (xy -0.741879 -2.288514) (xy -0.743543 -2.320026) (xy -0.747697 -2.337537) (xy -0.749371 -2.338812) + (xy -0.767986 -2.330748) (xy -0.795184 -2.311182) (xy -0.822446 -2.287054) (xy -0.841268 -2.265319) + (xy -0.842943 -2.262492) (xy -0.848616 -2.247666) (xy -0.98748 -2.247666) (xy -0.979662 -2.256919) + (xy -0.97544 -2.270397) (xy -0.958219 -2.305373) (xy -0.925138 -2.347421) (xy -0.881893 -2.390644) + (xy -0.834171 -2.429148) (xy -0.802828 -2.449199) (xy -0.767123 -2.471149) (xy -0.748819 -2.489589) + (xy -0.742388 -2.511332) (xy -0.741896 -2.524282) (xy -0.741893 -2.527426) (xy -0.100593 -2.527425) + (xy -0.100592 -2.464554) (xy 0.276631 -2.464554) (xy 0.276632 -2.527425) (xy -0.100593 -2.527425) + (xy -0.741893 -2.527426) (xy -0.741879 -2.565149) (xy -0.636046 -2.565148) (xy -0.587355 -2.563971) + (xy -0.549407 -2.560836) (xy -0.528308 -2.556329) (xy -0.526023 -2.554508) (xy -0.512643 -2.551705) + (xy -0.480076 -2.552853) (xy -0.433917 -2.557609) (xy -0.402376 -2.561996) (xy -0.345188 -2.570622) + (xy -0.292887 -2.578407) (xy -0.25358 -2.584154) (xy -0.242056 -2.585787) (xy -0.211937 -2.595112) + (xy -0.20119 -2.609729) (xy -0.197922 -2.615681) (xy -0.18623 -2.620222) (xy -0.163289 -2.623529) + (xy -0.126264 -2.625785) (xy -0.072332 -2.627168) (xy 0.001339 -2.627849) (xy 0.088018 -2.628021) + (xy 0.180531 -2.627923) (xy 0.250904 -2.627469) (xy 0.302165 -2.626412) (xy 0.337319 -2.624496) + (xy 0.359391 -2.62148) (xy 0.371383 -2.617115) (xy 0.376325 -2.611153) (xy 0.377228 -2.604214) (xy 0.384919 -2.582204) + (xy 0.410121 -2.569678) (xy 0.456007 -2.565212) (xy 0.464262 -2.565149) (xy 0.541973 -2.557132) + (xy 0.630232 -2.535064) (xy 0.721085 -2.501916) (xy 0.806568 -2.460659) (xy 0.878725 -2.414268) + (xy 0.888072 -2.406918) (xy 0.918534 -2.383004) (xy 0.936571 -2.373424) (xy 0.949169 -2.376522) + (xy 0.9621 -2.389296) (xy 1.000294 -2.414324) (xy 1.049998 -2.423929) (xy 1.103524 -2.418933) (xy 1.153178 -2.400149) + (xy 1.191267 -2.368394) (xy 1.194023 -2.364703) (xy 1.222527 -2.305424) (xy 1.227827 -2.244067) + (xy 1.210517 -2.185575) (xy 1.171178 -2.134897) (xy 1.166368 -2.130713) (xy 1.13844 -2.110831) (xy 1.110102 -2.102079) + (xy 1.070261 -2.101448) (xy 1.06031 -2.102007) (xy 1.02133 -2.10344) (xy 1.001255 -2.100159) (xy 0.993985 -2.090271) + (xy 0.99324 -2.081039) (xy 0.991716 -2.054256) (xy 0.987935 -2.013975) (xy 0.985219 -1.989877) (xy 0.981277 -1.951599) + (xy 0.982917 -1.932004) (xy 0.99242 -1.92484) (xy 1.009351 -1.923861) (xy 1.019392 -1.927099) (xy 1.035591 -1.93758) + (xy 1.059143 -1.95645) (xy 1.091255 -1.984865) (xy 1.133128 -2.023965) (xy 1.185957 -2.074904) (xy 1.250947 -2.138827) + (xy 1.329291 -2.216886) (xy 1.422198 -2.310229) (xy 1.530863 -2.420002) (xy 1.583232 -2.473049) + (xy 2.125049 -3.022233) (xy 2.124431 -2.93515) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d17d1684-aa3c-480b-a6e1-a7734ed50f8e") + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "92ab712b-b6a1-4893-abfe-0dcec7a3605e") + (at 82.84 78.698028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH10" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "94d5537e-a47f-47f5-91df-199462bafc94") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "6df1bb74-7c54-4e0a-a9a2-5d9e66875193") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4578f3eb-8312-413c-85a2-242975f43c2d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "13e03946-f9ba-475d-8431-9e3b5c301a4d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cf124aa9-c330-4072-b34e-33f391ca6633") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/c315c1d2-f742-436a-99ff-97b3d3cbdc58") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "8543f759-223e-452e-970b-00f73bee6ab2") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "91c6d0c4-97ea-4ac6-bef5-43fa68a8537b") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "3b5c0b91-44c3-4811-a468-236acccca464") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "afd5c572-57f9-4957-847e-a4df343a9ae6") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "52dae7b6-0d84-4875-a0a7-df77ca89d059") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "6c5e4b48-3a3d-4ecd-8cc0-bb45bc3f1e15") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "e36a4ab6-93d3-47da-8b13-015c05ce6dd7") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "a8e16ff2-950a-4f1a-aacb-cd6cca2a16f7") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "28bf6147-a634-4c87-8463-8931f5d2134a") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "d6ac66cb-7386-4905-a28d-6d0a7fa37912") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f4dc0196-8d23-45ac-85a4-b74ee430ef4c") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "6f81dc4e-9de2-441a-803a-54ca1f8497ac") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "7f9dfc56-da3f-46d1-85f6-df19502df8ba") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "757106c2-5842-49fa-9d3d-4bf0697138cc") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f48928e8-280b-423a-8365-023773a5c42e") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5ae18e43-ef73-4c26-9e97-a4b40685fa64") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e4d596a6-e2fc-4e1b-a49c-27d0669224f5") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ee86a60f-1300-4029-9d72-241cd6e89574") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "98537f04-93cb-4d0a-b3ed-452cbf9e4eec") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cdc9233b-aef1-40fd-b59b-e3f6b670c644") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "7c4a5f1c-055b-4843-8408-0b94a6f46014") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "2a98aa50-c5a5-44fa-9968-a76250c4f45d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "c96ecd6e-3fe2-47b6-bb7d-281a758b7a1f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "ee1151a3-6360-4a31-86bb-754f6a27d2aa") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "14a64f93-6f89-46e1-bc17-0a6a9110bf4e") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "bdd4c9cf-1d9b-4314-b4a4-69625aefe9ff") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "cd56effe-36e2-4af2-8af0-f3c2d8e511bb") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "COL4") + (pinfunction "1") + (pintype "passive") + (uuid "90d0b6c0-2300-4ea8-809f-34d11a552a67") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 14 "Net-(D10-A)") + (pinfunction "2") + (pintype "passive") + (uuid "8e80e9bf-e6d8-4346-92d1-eed368504843") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_SMD:SW_SPST_B3S-1000" + (layer "F.Cu") + (uuid "96437caf-9ed6-439f-9ced-e00d26b2c699") + (at 153.24 45.935 180) + (descr "Surface Mount Tactile Switch for High-Density Packaging") + (tags "Tactile Switch") + (property "Reference" "SW2" + (at 0 -4.500001 180) + (layer "F.SilkS") + (uuid "9051d42a-14af-4226-8cf9-d1c8c243b06c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "SW_SPST_B3S-1000" + (at 0 4.500001 180) + (layer "F.Fab") + (uuid "175d69be-b65e-4c4d-bbb1-cd796496d43c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_B3S-1000" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cb0dfca5-13b7-4dac-acbf-2295cc91c75c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4ecc0a89-9d68-47a2-bcfa-8a0061ae0eae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "17037db8-3598-4492-b1a7-3747df23982c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/97af00f4-0b76-4cc8-a5ec-cba425ce1a13") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start 3.15 3.45) + (end -3.15 3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f1c8b4b5-1169-4801-9b2c-009e2b26ad0a") + ) + (fp_line + (start 3.15 3.2) + (end 3.15 3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bbd0beb6-9ba8-4e8f-8fa2-dfc5dfbe1139") + ) + (fp_line + (start 3.15 -1.3) + (end 3.15 1.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "872de92c-bc89-430e-bd65-928a24cc4efd") + ) + (fp_line + (start 3.15 -3.45) + (end 3.15 -3.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2af66d25-f78a-46ab-a4bc-c31310d90c0a") + ) + (fp_line + (start -3.15 3.45) + (end -3.15 3.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e1623b53-6774-4cbd-b489-2018d9018fb2") + ) + (fp_line + (start -3.15 1.3) + (end -3.15 -1.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "30f202b5-e4ad-4c8d-98e3-e37861238a04") + ) + (fp_line + (start -3.15 -3.2) + (end -3.15 -3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fd921222-4b85-4fb3-8622-61e98587cb81") + ) + (fp_line + (start -3.15 -3.45) + (end 3.15 -3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b45595fb-cce0-4dd4-a592-38ec4cecad30") + ) + (fp_line + (start 5 3.7) + (end 5 -3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e63a2d9a-ce31-452b-890f-7f2c0aa72e67") + ) + (fp_line + (start 5 -3.7) + (end -5 -3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7ab69244-53ab-457f-a0cc-c8550d2dd7ca") + ) + (fp_line + (start -5 3.7) + (end 5 3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cbfd0ff6-aa33-4bf8-8fc3-54d27f12ce03") + ) + (fp_line + (start -5 -3.7) + (end -5 3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7e2e0b14-3708-411b-bcf4-d4512d1fc976") + ) + (fp_line + (start 3 3.3) + (end -3 3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed145946-f9af-4d28-91b6-ed7079f281b9") + ) + (fp_line + (start 3 -3.3) + (end 3 3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a9df999f-a486-40be-a53f-2416b7a89319") + ) + (fp_line + (start -3 3.3) + (end -3 -3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2fe05633-3e99-4dfb-a067-67c849dc0e8b") + ) + (fp_line + (start -3 -3.3) + (end 3 -3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "605c0dca-384a-414d-a0eb-9ff5bfceb453") + ) + (fp_circle + (center 0 0) + (end 1.65 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "56af7c33-bbad-4130-80ae-d6e8955b447f") + ) + (fp_text user "${REFERENCE}" + (at 0 -4.500001 180) + (layer "F.Fab") + (uuid "ce12a026-c7e5-4462-a3d5-096f2c706d27") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at -3.5 -2.25 180) + (size 1.55 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "RSTR") + (pinfunction "A") + (pintype "passive") + (uuid "201c7f6d-6b87-421b-a52d-336bd96b291c") + ) + (pad "1" smd rect + (at 3.5 -2.25 180) + (size 1.55 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "RSTR") + (pinfunction "A") + (pintype "passive") + (uuid "0ef8d71e-56ba-4e4b-8f9b-4994ccb74b32") + ) + (pad "2" smd rect + (at -3.5 2.25 180) + (size 1.55 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "RSTG") + (pinfunction "B") + (pintype "passive") + (uuid "e2ff46a0-17ce-41a1-8aa7-eec1ca318cd4") + ) + (pad "2" smd rect + (at 3.5 2.25 180) + (size 1.55 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "RSTG") + (pinfunction "B") + (pintype "passive") + (uuid "1087f598-2d26-4a95-a513-50bfbc9f6234") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_B3S-1000.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "991d5d60-7c6d-4415-b09e-d6530c505af1") + (at 119.34 71.589028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH8" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "b4d69e4b-c6d4-4aab-b61c-d9533285b09b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "18689c2f-9896-4968-a58f-f4962501407f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "39c79cd5-42d7-4a72-93a1-4705de399005") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ee59f9a3-0a9b-4959-8edb-54734ffc3ee9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "be4d8d3b-7c97-41a8-83f9-24da8c9a7100") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/b052135b-ddb5-4fea-9959-3de155127cce") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "2fcba9e7-694e-45d2-876b-80d6c4082ed9") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c274b489-6294-4a5f-8215-670ecacbb3dd") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "8f325bc6-c651-4c83-a211-7af7cf7fd407") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "bba7fa35-cd01-4be6-9d1a-c0ac40db73bb") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "9ca2e8e2-4e43-4fd2-b1dc-7acd23a1024a") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "e7ee9246-8030-4838-8060-4d6250eed9d2") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "7d233320-8be7-41c5-92fc-ab3bab296f65") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "e0fcf1da-dafc-4937-9ff4-104e4504e40c") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "8a7f6575-8ec5-4ff4-b571-c819a0704fa5") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "2e9e4a32-c0dd-45e9-ad93-178ec2c72b45") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "d93e0419-f83b-4fb0-8532-61a397a494b7") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "95923404-c028-4ca2-b0c9-995c02b367fd") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "9ba0a145-c37e-43e8-9d7a-dfc5e0544929") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "a40e9b38-8d0b-4ae8-82a1-936e8e9228da") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "923a3c5e-ab17-4512-9a14-b11be4ed6568") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "a0e98b35-6835-44ba-a3cd-09984cc7a03b") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2ca73cfd-f0f7-4657-bf5c-b3281fb18d05") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3dd18a79-1f96-40cf-bcb8-1fbb8d7232a8") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7528831f-efe8-4ede-bb5b-930147f1f885") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b4d43753-1001-4c63-922d-d555a4720436") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "60c66c48-81c0-44ba-95b3-8645db245cdf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "30ce4bc6-b886-4ec1-84bc-f4e17ac5c7ed") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "24d81450-9752-4194-9851-513de2e9609a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "879a6c6d-5b96-40c1-bded-adb1c420901f") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "77a037d0-55a3-4442-87fb-0487f40cfec7") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "bf9f12d2-84af-4a3e-b36a-6818b03c2616") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "0e1c9254-1127-4ce8-9deb-e918ac71443e") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "7f1f715a-18ef-4924-8963-e7ffe7c3aa4f") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 12 "Net-(D8-A)") + (pinfunction "2") + (pintype "passive") + (uuid "ba482e67-b2fa-44de-b9ce-08c2b1842f65") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "a1081ffa-b453-4c4d-8354-9b400c3dc42f") + (at 128.5 75.6 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D8" + (at -3.65 -0.05 -90) + (layer "F.SilkS") + (uuid "f9664843-56fd-4d04-be34-123559461a44") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0.075 1.975 -90) + (layer "F.Fab") + (uuid "29e4a036-47ca-422d-9916-28f945d039f2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9ccae1ea-a6c3-47f6-9be5-c165c3f20239") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1a3be3cf-a6d7-4376-b7e9-deb382dc55f3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5ba9eac6-cf5c-40a7-9600-7267d515e5b1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "b6bacf9e-6f25-4488-a645-1fe0ebbb28f1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "8ba7a99b-f220-4798-840c-77ad96e4916d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/dcc6aa1d-afc4-413c-b2f4-6380f1083d7d") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3e9a5195-7ac7-4aeb-82dd-e80dbbeecfd6") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "495cd80a-b0f4-4226-a570-e08ac9e55a72") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "92f2ff22-d172-4296-9d30-55adebe8dc95") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "49788c4b-f4d1-48f6-84da-7ce91080308a") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "458d0c5f-05f4-4f4d-a532-0a603973300b") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1914cb34-4789-4775-a5b5-2a618880b07f") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8fae8cc8-81b9-4729-928c-76854137ee10") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "17a4d814-6f62-407d-b97c-e5c953b5f816") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7a3aa635-1234-4085-a594-d7dd548bd631") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "51e4973e-e5eb-4a2c-88ea-92a01352cfac") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "14cb9733-58d6-4ee9-bb9b-3fb55431e11b") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8ef1fe82-db54-4c3d-b0f0-99ff1ee97333") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "24257e58-da61-4905-8b2f-9f92b08a4c4f") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "77069377-d5ce-4b6f-ab27-c54ec9d2ce64") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2a5d1c3f-c012-4768-a893-f6933f51c63e") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9877a2c6-8968-4b12-9973-ff5462b9bfbf") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3c667060-9d1f-4f61-af11-59fdce061988") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5dab7702-dd12-426e-bf09-e9bf29d82595") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "69af6106-9f2e-4443-ac2d-58b8458710f7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "66621b27-cc31-4957-8508-3ea8c35f09f8") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 12 "Net-(D8-A)") + (pinfunction "A") + (pintype "passive") + (uuid "cf318c4f-b514-4233-9d18-350da21cd3f3") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "ab47518f-c05c-4068-b3c9-c51603a8c1c2") + (at 110.1 97.625 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D14" + (at -4.1 0.025 -90) + (layer "F.SilkS") + (uuid "24217693-5ab6-4a1f-b618-28c38eaf7b7c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "e477f534-345f-43de-ad4a-d03292f94301") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "00a1bfc2-6b47-4de9-b904-9b65df20074b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "78410bfa-fe9b-41a2-aa7e-228e11963bf7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3f9cc3ee-c376-412e-bf17-680a2883dd8a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "135df92e-2a12-4792-945b-9729d2ff10d6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "1a8c4c32-01a5-494d-be5a-edd56bf3b917") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/472fbb51-016b-4cf3-8932-5b216685b035") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2579ffa0-fddd-4048-b7ca-d1cc2371a410") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a72746fa-a3b6-4da4-9568-3b9ce25b993b") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "20e87667-e5e3-48e9-9c93-0e0f00fdf282") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "89c52b9c-3c76-4134-bab8-bb965950dc5c") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "936d0b97-e14a-4280-993f-19712e393fa7") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "afa69d3a-8b19-46c3-97bc-dcb6ca46f395") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b46481a5-b9ef-4854-b4de-782c20ef5c97") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e199db6c-34d2-46d2-8b6b-1a50df65b895") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7cc87bc8-4346-4576-ba26-07fb50f8d9a0") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "41948a29-afd2-41c7-9f61-25047adbcfd2") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a887b360-79b0-4cf8-8e7a-cfc5b18f2193") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "343702e1-9b87-4e92-9fc4-9522011be042") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8f3537ac-cf6c-4299-aeb1-2f3f755b2e06") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9bfb6c9b-4211-45e0-999d-72414b27a1b7") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ad43cd1f-d608-4678-8bff-ed2e0c94990c") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "92338d48-2f34-4e45-9714-bebad7462967") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "853d458d-5772-4f06-8795-1fd4e9907fbe") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "57b0d43c-c38a-44c4-9114-3b77984bbe12") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "4ae986dc-1d85-456e-863a-d7f62ac2a962") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "4e9b0665-7090-4422-85d5-e76196131819") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 18 "Net-(D14-A)") + (pinfunction "A") + (pintype "passive") + (uuid "b1034b62-5f59-42ff-8b5f-a7ea26613c5a") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "aca99fcf-7002-409d-91d6-c0d165cc2edd") + (at 155.765 71.753028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH1" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "f5afbf9f-cae4-4e5c-ac43-323890c1d603") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "f017e768-b61b-4cef-afd8-d2c036594513") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8433a9c4-b0d5-4dba-a7af-61f8e50d726c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c1fba020-8921-402e-aaf1-352a141251e5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "faa83c04-a4f9-4086-8240-bb7823e1042f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/588e34f4-2fb7-414d-aad6-816d5193b579") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "307ddf41-20f0-4378-b8c4-0b6bfd9ca6e5") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "6bc83a07-2266-4871-8686-c7c3336aa78b") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "f0f19ae1-f4bf-41ac-a720-cd7ee359bef3") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c5328c97-e242-4ff8-8950-1d895a94110c") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "489a6e9b-59ac-4c82-9d8b-e52531cd2fbb") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "676d3b94-50b7-49bd-bd18-c284c91e8aed") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "0738583d-f606-4687-8fe8-2a755b72e057") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "ab0994b2-f3f8-47b0-a9dd-6b5cb04c1ffe") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "8c90dc93-7e6a-42ba-b3b8-ed5cf93e5aa8") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "76642eb8-9da6-47aa-b3e8-ee7260acdbd7") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4e7a9035-d7e8-4439-ba50-363f8229c95c") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4deb8874-0ddf-458c-92f9-eab85f90af99") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "b8278982-9b54-4fa8-8f01-fe03bfd7e9c8") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4242857a-822b-43ee-9d12-e4b709ae1c0f") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "649edd1e-2c5e-421c-addf-aefe0fda88a6") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f5e9ab73-40f5-432a-bb85-33e9aa833139") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5e7a07c0-e9b5-4d54-8c21-2eff282625b9") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "49e29e73-fcfa-414a-8478-c30590671c3d") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "42284549-29bc-431d-a31a-8c4b5d7f857a") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6f3d1f5a-ede7-476f-b730-491dadf8a971") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "31a7edcc-90ea-42bd-b13a-4136be8f3900") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "9d501bb2-8a4d-420c-aeca-8be57c30e09f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "259882fd-9056-4095-bf0f-b59a5c872f25") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "7d733b32-b794-4b9e-acd1-9894541ffe62") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "d2ca566d-cc44-4c92-8a9d-1580352b261f") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "c8b6d85a-7f21-4c4e-a294-9c00d4292535") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "78379b8f-6736-4dbc-86f4-899061925f63") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "aa1105e8-7d06-45f9-9997-36d8408dd884") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "Net-(D1-A)") + (pinfunction "2") + (pintype "passive") + (uuid "d221bab8-a4d4-4dfa-992d-b6b02d51e710") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "b2ee42d2-d1cf-4df0-b269-c92279e61dc6") + (at 110.225 79.125 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D9" + (at -3.775 0.05 -90) + (layer "F.SilkS") + (uuid "a4ec1617-a186-467e-aa9a-6691f35f9289") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "f2a001ee-65fa-41e7-b310-e1cc3444cf7f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1efed863-0908-48cd-abb5-6ef54de3f7db") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dab3457f-dc28-4c82-a144-85aa7b3c60c8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cfd2cf0c-ce19-4c8d-b9d4-b42574aa6552") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "95a18802-9c71-4ca0-9a41-0779c98e3cf6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "d09e1bc1-64b8-43c9-aa53-452b7eaf0678") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/6bed7803-1ac9-4d4c-a092-bc189ec9e755") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "10b06f54-0849-4444-9423-10b98021a07f") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "55f887df-a86a-4043-abb4-d0dd8520fca9") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ceea337f-2185-4087-8b92-e7cfc73b616b") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8780243a-e44a-4971-8964-697f39af51e2") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e0483396-2314-407a-9aee-47c892d51113") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ffbbb940-d1d8-46ef-9a34-fa05142d863c") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eebdae5f-64b6-4319-aa94-3e135085bca7") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "89629da8-e80d-4005-9dd0-e9eaf38aa7f2") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9d098f36-0466-45b0-9ac7-db241363be73") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3007b945-4411-4653-a66f-e6a0344b967f") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6dea7e67-53f1-42e9-a811-7e304ee318fd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ead7ef70-b04b-4955-a863-1ae37ce693ab") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9c5d123c-1af2-4dca-94c0-e0c43e77404a") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "651f375c-f067-4c09-b636-1e45e36344fe") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7100f709-fe1d-4fe2-8d51-0d526d915d5c") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c6f3ed2e-9bb8-4dec-817b-58c9b08e25e9") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1962587a-8a1d-42b7-aba0-df1fa42bbb4c") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6aeae5ab-e63e-47f7-9a48-ab7676373f46") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "e9f79062-6443-42c9-a72e-67b3ad079b15") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "4533a09b-3ab4-4cbe-9ac9-00447a44afb6") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 13 "Net-(D9-A)") + (pinfunction "A") + (pintype "passive") + (uuid "c1f7d365-2877-40e3-9270-c7179cff8241") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_S2B-PH-SM4-TB_1x02-1MP_P2.00mm_Horizontal" + (layer "F.Cu") + (uuid "b7611907-eeaf-4400-a3a9-3632de6a24d2") + (at 171.525 93.25) + (descr "JST PH series connector, S2B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH top entry") + (property "Reference" "J1" + (at -3.375 -4.575 0) + (layer "F.SilkS") + (uuid "c5717748-8e45-44bf-967c-c7d78bedbdc9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "JST_PH_S2B-PH-SM4-TB" + (at 0 5.8 0) + (layer "F.Fab") + (uuid "0dec4043-770b-4c72-b4cb-a29018ff30d3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_S2B-PH-SM4-TB_1x02-1MP_P2.00mm_Horizontal" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "5b5bef69-c10d-4796-b982-d3fb5bc910e2") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "51b75995-fe19-4596-a4ad-b11533953f36") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "89d743af-6655-4b8b-bd29-c8b5bf9a7838") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/305c0b36-2cea-4817-a245-c7686b8188cf") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -4.06 -3.31) + (end -4.06 0.94) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9b1194bb-f844-48a5-b0d7-e934a34a0d9c") + ) + (fp_line + (start -3.04 -3.31) + (end -4.06 -3.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "70777ff9-01e3-43b8-a144-dc249ff13a34") + ) + (fp_line + (start -3.04 -1.71) + (end -3.04 -3.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6f6091c5-1e0a-42fd-9cf0-94c79ae7dea8") + ) + (fp_line + (start -1.76 -4.6) + (end -1.76 -1.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a6186037-753e-4a9c-b339-0312584b983c") + ) + (fp_line + (start -1.76 -1.710001) + (end -3.04 -1.71) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f11566d1-8856-4e8e-92ef-62011970496d") + ) + (fp_line + (start 1.76 -1.710001) + (end 3.04 -1.71) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e96663ad-87b5-480c-8ace-52af429367a5") + ) + (fp_line + (start 2.339999 4.51) + (end -2.339999 4.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bb0ccc5c-3d94-4298-9586-b5927eca90d7") + ) + (fp_line + (start 3.04 -3.31) + (end 4.06 -3.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f291e0ac-7ea7-4b06-9de3-409fa69d7ac5") + ) + (fp_line + (start 3.04 -1.71) + (end 3.04 -3.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a74c68b1-28c9-403c-9938-c76e2011f7b2") + ) + (fp_line + (start 4.06 -3.31) + (end 4.06 0.94) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a8582811-8d66-4da6-affe-d8889bc29a07") + ) + (fp_line + (start -4.6 -5.1) + (end 4.6 -5.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6a167519-645b-4f8b-9938-8d5cb6b9314e") + ) + (fp_line + (start -4.6 5.1) + (end -4.6 -5.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "582fa80b-cacf-4b28-90b3-c775bd3f3a74") + ) + (fp_line + (start 4.6 -5.1) + (end 4.6 5.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b4fd9c74-aef5-4cf7-878f-70e1d6b42295") + ) + (fp_line + (start 4.6 5.1) + (end -4.6 5.1) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "03e06558-9d3f-465f-b19e-a2ef5a3a4f5e") + ) + (fp_line + (start -3.95 4.4) + (end -3.95 -3.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "35aa166f-5823-4067-b5f0-724ed00926a2") + ) + (fp_line + (start -3.15 -3.2) + (end -3.95 -3.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "676730e2-4b20-456a-9ce6-83ccd075d23f") + ) + (fp_line + (start -3.15 -1.6) + (end -3.15 -3.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0502abc1-a9d4-4cd8-b65e-f548dcc8c932") + ) + (fp_line + (start -1 -0.892893) + (end -1.5 -1.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7d7b708c-e188-4fba-8810-2c9d767b7019") + ) + (fp_line + (start -0.5 -1.6) + (end -1 -0.892893) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "59174f61-02f9-43de-aa8e-e348c5fa57ba") + ) + (fp_line + (start 3.15 -3.2) + (end 3.15 -1.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3584ce02-d82f-4581-9bc6-9aff67fd7a45") + ) + (fp_line + (start 3.15 -1.6) + (end -3.15 -1.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a6fbc821-e2ca-4dc1-95e7-551140214829") + ) + (fp_line + (start 3.95 -3.2) + (end 3.15 -3.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "02d57e16-2770-4d4e-8641-a91ab3eafade") + ) + (fp_line + (start 3.95 4.4) + (end -3.95 4.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "03c84178-f316-4cd7-b61e-1bcceac260a6") + ) + (fp_line + (start 3.95 4.4) + (end 3.95 -3.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b3784041-e8d2-49ee-a2c0-76eac3471839") + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 0) + (layer "F.Fab") + (uuid "e9d6fb43-5f80-46d0-a196-d4da690d2d7d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1 -2.85) + (size 1 3.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 26 "BATTN") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "7711146e-8296-460e-a675-876e301e4d25") + ) + (pad "2" smd roundrect + (at 1 -2.85) + (size 1 3.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 27 "BATTP") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "24a489f8-3d8c-445f-8351-4922ebd89c52") + ) + (pad "MP" smd roundrect + (at -3.35 2.9) + (size 1.5 3.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1666673333) + (uuid "9e1db009-fe85-42ee-9a8a-6b56f2d80369") + ) + (pad "MP" smd roundrect + (at 3.35 2.9) + (size 1.5 3.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1666673333) + (uuid "4e6ea47d-78bc-4c06-a67a-4c6f9eed1113") + ) + (model "${KICAD6_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_S2B-PH-SM4-TB_1x02-1MP_P2.00mm_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "bf46420f-22cd-4203-8b3d-491a85ed3005") + (at 119.34 53.301028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH3" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "668d129d-197b-438d-8a05-2fe619833e22") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "efe286be-278e-4459-81e7-18c92670465b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "438b1034-5715-44c4-b4c3-c48bf1d22003") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4abeabc4-4250-4e66-9fad-6309627f7345") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f739a7b7-4844-434f-9370-28a5a072209f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/076d276c-241f-4d42-8c13-16a5543311a2") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "f2bc5890-1d73-4eb5-8363-c13287c90941") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "db282637-0e32-4f9f-ab0c-085e16d647fe") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "eedea7a9-929b-482d-9098-8f2e21a7f3c9") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "cc4f377f-0ff5-4b7a-bbac-4735214176dd") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "41cb2f47-20a1-4385-9bd4-ebccde35489c") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "9ac6412a-9ad7-4240-923c-25eb7d0ffb35") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "168b6e14-239c-42b1-a873-8dc108e637bc") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "2e3aba61-36e7-4808-b939-f3fbb48f5024") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f06ff5d3-e2fe-49f2-bbde-33fd209661be") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "14c12ce0-fb19-470e-82aa-954c792802e8") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5fdabe70-14ae-4d46-b7b5-070cdce2c20b") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "b6bd34b5-9cf6-4d70-b8d0-f60364dd6fce") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "877cdf63-df67-4809-b097-ff8f844d953c") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "d60e7ba0-b384-481c-a23f-b49aed48f27b") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "21b12955-b0ad-4d05-bcdb-382217fe7040") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "0d2392d8-d0b9-4ba2-be1b-b1cd90d29bc9") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bf08cc75-8903-4d89-865e-728f7193d94b") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "66f0dc3b-0587-4c83-8792-d66d72d9a596") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2be838cb-3237-47f4-bde1-793a622746f2") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b462dfd9-e31f-4fb6-9c9d-a6cfe5ad483e") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "6ec70c86-7e70-4bb7-b34d-68459dcd2b2e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "13a61a31-6d75-4cd1-bb52-7b787466f4b9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "5868d09b-120c-42d4-83a8-a90bba77b712") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "680b98cd-5e5c-4570-a791-e5712c866ac4") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "f2ea5a02-df48-485c-8be2-e9d942b54583") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "f3c295ab-0117-4303-a97f-2a28fb6d6333") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "49782075-bab3-451e-8a30-2aade5e1d35f") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "COL2") + (pinfunction "1") + (pintype "passive") + (uuid "116a407a-32a9-444a-bbd7-1fac71ecc7eb") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 6 "Net-(D3-A)") + (pinfunction "2") + (pintype "passive") + (uuid "b4a5cfd7-f965-47d4-84f5-05bc5956df01") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "c48825ed-d114-4236-a63c-f02e7d46b689") + (at 110.125 61.575 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D4" + (at -3.6 -0.025 -90) + (layer "F.SilkS") + (uuid "797a4513-f961-43e9-bf83-e74757bca9c8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "833f0513-35eb-43ce-803b-a3e797575443") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1c37daa0-1199-4252-9424-23764b818d8b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fdbccf88-4bdf-46bd-b09a-1a3c30d63025") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "deb1ce67-bc6c-4c2e-865d-90064622cc41") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "a9693ddf-1c51-4002-bbf3-f067f02e088b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "bf9fe9da-a1d5-413f-ae31-b02d0e3e5e47") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/e067fb84-b329-4d10-8295-d864756f032f") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "eb95f911-68c6-4ba8-a932-f6767f04f179") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a2ae63e1-9392-40bd-b761-305fe0be1d73") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7d083dae-fc92-43f9-8b06-db07162f5fec") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "056398a4-94b7-48bd-a051-170c553b4fbd") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d2f45e68-bc04-4bfb-9b2e-3530f3dce097") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a8f5a696-3636-4cda-80e6-65ce82b21188") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2433d676-e45a-4a23-9ca6-0d80d7004f96") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f1bcb306-ee38-43fc-a0be-179bb67dc77e") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "018302aa-6798-4249-be42-05e51ff107fa") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f19b52fb-7088-4342-91a9-e97299bab1b0") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a30167b4-f943-4e23-89b8-32c3f7772291") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "05c96def-8908-42e6-929c-6baddce5a3ec") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "503a33ca-b93b-41e6-b720-351804e0888a") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6bc4b113-8a58-417a-a8f0-e7469768f3dc") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68a76408-d618-4f62-aaa5-ae4d5d94a6d0") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a257b839-67a2-4ee0-93e9-5b14a6b0656b") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f1cb8d91-7eef-4b9d-9890-ad8e11136878") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2d6af05e-0b4e-4cd2-bc8d-b7c9b093fe41") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "f9042a78-581a-4291-8da7-d0e67341cc66") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "681c6a9f-5eb3-4c7b-87a3-e507fd5b9b1a") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 8 "Net-(D4-A)") + (pinfunction "A") + (pintype "passive") + (uuid "f9985ee4-34cc-4dde-96c8-431812ee4aea") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_FFC-FPC:TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal" + (layer "F.Cu") + (uuid "ca3a6361-8f85-4ed4-80ff-1c92645881c9") + (at 63.499333 63.734276 90) + (descr "TE FPC connector, 12 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4") + (tags "te fpc 84952") + (property "Reference" "J2" + (at -9.515724 6.000667 0) + (layer "F.SilkS") + (uuid "4f65fd3c-da81-4d46-bcdc-5348fab4801f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "TE_1-84952-2" + (at 0 7.7 -90) + (layer "F.Fab") + (uuid "3b368164-ca6e-496c-ab5a-1fc6f32e4145") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_FFC-FPC:TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "332112b7-09d0-43b3-9410-716c922cf948") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "b802b45f-310d-46c2-95a6-d6949381fd5c") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 -90) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "21057ef2-e8fc-489d-8cb5-4a871e63fe4d") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/2a78d700-42bf-4156-a34d-65b95ba429a4") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -6.064998 -2.71) + (end -6.065 -0.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3492a7d1-712a-41a1-8004-b16bff8427a4") + ) + (fp_line + (start 6.89 -0.91) + (end 6.065 -0.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "efe399d3-4020-471d-864a-fa2dd4088647") + ) + (fp_line + (start -6.065 -0.91) + (end -6.890002 -0.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3d9cc70a-fe13-4bd0-bb8a-5b51753ae2f2") + ) + (fp_line + (start -9.045 3.06) + (end -9.044999 3.599999) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8dac9170-ac19-43d5-80a1-df3be1833716") + ) + (fp_line + (start 9.045 3.599999) + (end 9.045001 3.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68f31c65-4cc3-48dd-8b76-58fb2b0d0776") + ) + (fp_line + (start -9.044999 3.599999) + (end -10.07 3.599999) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "18c45c90-719c-4f2d-8af0-1959e6d93906") + ) + (fp_line + (start -10.07 3.599999) + (end -10.069999 4.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6105fe98-02f9-40a8-9f4f-01ff9af26ffc") + ) + (fp_line + (start 10.069999 3.6) + (end 9.045 3.599999) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b897ec04-73e5-4eb8-bdbc-40eba77501ed") + ) + (fp_line + (start 10.069999 4.710001) + (end 10.069999 3.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2b9cda86-7d9f-4e55-bab3-c40b3e60d2ef") + ) + (fp_line + (start -10.069999 4.710001) + (end 10.069999 4.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0aa04e04-0752-4d88-8d47-a8b1e8b6e6a8") + ) + (fp_line + (start 10.46 -3.300001) + (end 10.460001 6.999999) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "963e0d18-6b5b-40b5-9a65-2af95303f987") + ) + (fp_line + (start -10.460002 -3.300001) + (end 10.46 -3.300001) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "00e258a2-f9d6-484c-a500-df09e9429cf6") + ) + (fp_line + (start 10.460001 6.999999) + (end -10.459999 7.000002) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9e42b329-a43e-4885-a0c0-7ef021572c52") + ) + (fp_line + (start -10.459999 7.000002) + (end -10.460002 -3.300001) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "25961753-7d51-415b-a5c0-fb5a3bad1978") + ) + (fp_line + (start 8.935001 -0.8) + (end -8.935 -0.799999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d60b412-39f6-4c12-8b85-a7e1eb9ac9f4") + ) + (fp_line + (start -5 -0.799999) + (end -5.5 0.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "009b7612-756a-4297-9d37-e64bf82ee2c9") + ) + (fp_line + (start -8.935 -0.799999) + (end -8.935001 3.709999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0a0d2071-252d-4fb7-a911-3089e4dbf205") + ) + (fp_line + (start -5.5 0.2) + (end -5.999999 -0.800002) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f093721b-4663-48c0-820a-8c23ee33fce1") + ) + (fp_line + (start 8.935001 3.709999) + (end 8.935001 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a109ea03-382d-4896-92f3-e773ba47e4b2") + ) + (fp_line + (start -8.935001 3.709999) + (end -9.96 3.709999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8c84e28e-a2b9-4a8c-a622-aa62e78a0914") + ) + (fp_line + (start -9.96 3.709999) + (end -9.96 4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f9c695bf-c4e1-46a5-bf6f-571d28183683") + ) + (fp_line + (start 9.959999 3.710001) + (end 8.935001 3.709999) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a4a4b28e-8dcc-4c7b-a883-dec1aa8172b8") + ) + (fp_line + (start 9.96 4.6) + (end 9.959999 3.710001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6d70273c-afcc-414c-975b-6081e72c9bb2") + ) + (fp_line + (start -8.935 4.6) + (end -8.935001 5.610001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a2e71587-fca9-4216-a24b-f197aeefea13") + ) + (fp_line + (start -9.96 4.6) + (end 9.96 4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5eb4d2f6-e748-4b6f-97ab-2906ff6e5c23") + ) + (fp_line + (start 8.935 5.61) + (end 8.935 4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b643e93f-4917-43bc-9bef-99f1afc2b7dd") + ) + (fp_line + (start 9.96 5.610001) + (end 8.935 5.61) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3c363860-0820-48a6-a4b5-fd8ee44b1e30") + ) + (fp_line + (start -8.935001 5.610001) + (end -9.959999 5.610003) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6a0b4000-bd54-455f-b30f-50c1ffc2df1e") + ) + (fp_line + (start -9.959999 5.610003) + (end -9.960001 6.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9ba8b8ef-f8ce-401b-ae6d-b55ba7ae2637") + ) + (fp_line + (start -9.960001 6.5) + (end 9.959999 6.500001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a9763dfe-d127-49f7-87ee-975a5465739e") + ) + (fp_line + (start 9.959999 6.500001) + (end 9.96 5.610001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "452900f7-5e70-49d3-aa8a-81dbb3b871f3") + ) + (fp_text user "${REFERENCE}" + (at 0 1.9 -90) + (layer "F.Fab") + (uuid "911bd246-0461-44ac-9720-1ca93b383107") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at -5.500001 -1.8 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 43 "TPVCC") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "27910e08-02bf-41ad-8248-bd92ccb2de98") + ) + (pad "2" smd rect + (at -4.500002 -1.800003 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 42 "TPDATA") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "a2ce1b7e-30a8-49f5-bd14-af0bcf873207") + ) + (pad "3" smd rect + (at -3.5 -1.799999 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 41 "TPRST") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "a6a3f8a0-8527-44d1-b632-64f27f661b61") + ) + (pad "4" smd rect + (at -2.5 -1.800001 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "unconnected-(J2-Pin_4-Pad4)") + (pinfunction "Pin_4") + (pintype "passive+no_connect") + (uuid "6bcaad55-28fa-4ff7-a919-1f5978846da1") + ) + (pad "5" smd rect + (at -1.5 -1.8 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "TPCLK") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "f338358e-6a78-4b5a-ba1a-fb879a2bdf2d") + ) + (pad "6" smd rect + (at -0.500002 -1.799999 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 39 "TPGND") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "ccda58bd-cd01-40de-b7bf-3e5b61e68c76") + ) + (pad "7" smd rect + (at 0.5 -1.8 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 39 "TPGND") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "eb0e221f-43fd-4cb6-844d-0f1edce337eb") + ) + (pad "8" smd rect + (at 1.5 -1.799999 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "TPCLK") + (pinfunction "Pin_8") + (pintype "passive") + (uuid "fa20e572-fefb-485f-b451-a6567cab8da9") + ) + (pad "9" smd rect + (at 2.5 -1.800001 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 40 "unconnected-(J2-Pin_9-Pad9)") + (pinfunction "Pin_9") + (pintype "passive+no_connect") + (uuid "a568c905-3fe7-439a-881f-3a6171ded139") + ) + (pad "10" smd rect + (at 3.5 -1.8 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 41 "TPRST") + (pinfunction "Pin_10") + (pintype "passive") + (uuid "ad52bbae-9ba1-4ced-ae82-276e25703e33") + ) + (pad "11" smd rect + (at 4.499998 -1.8 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 42 "TPDATA") + (pinfunction "Pin_11") + (pintype "passive") + (uuid "a57e00d8-c0fa-4744-acec-277baf9d1f3d") + ) + (pad "12" smd rect + (at 5.5 -1.800001 90) + (size 0.61 2) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 43 "TPVCC") + (pinfunction "Pin_12") + (pintype "passive") + (uuid "04178df1-cb5c-4831-a2a3-a3350f0eea5e") + ) + (pad "MP" smd rect + (at -8.490001 1.000001 90) + (size 2.68 3.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "8eb6d008-22d6-4aa5-b42a-1155fcbc2e71") + ) + (pad "MP" smd rect + (at 8.49 1 90) + (size 2.68 3.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (uuid "abb32eac-83a7-4503-81fe-c0c9c7095a65") + ) + (model "${KICAD6_3DMODEL_DIR}/Connector_FFC-FPC.3dshapes/TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "d42e2fcf-8016-4ff5-867f-56a1941684b3") + (at 155.765 90.290028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH6" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "91bcf4a8-7e46-4433-a192-29838eb71f72") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "ee7f5cc2-4f9d-492e-b5da-cedbcf4ab82b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3db5bb2c-e7ab-4863-9517-193d051bf3f6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ba68cff0-ed90-4f31-91f4-f1b6b33546a2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0543678d-2b6b-4897-b9f7-5f58bd993223") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/d169572e-7545-4366-a9cc-516c931be16d") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "ce78eefd-e2d9-4a82-b578-b45a4e9281fd") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "5ce7790b-5ac4-4312-9eb9-bc88afbbdd10") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "b10cc23d-5889-4932-bae7-dba0be283fb0") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "9c98eb0b-8c4f-4a91-aa47-aecb6fff1215") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "bbeb65c8-a963-45ac-b9ac-a74a8a2c3205") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "a7e35d51-41a1-4536-b59f-33b4c6da9d06") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "72735440-7b51-45dc-8ba3-375698ca86bf") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "fd4c4230-82b0-453e-aac5-6ab0a3ad706b") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "9d53e6b6-0f09-4df9-963d-9b741490cb72") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ebc6a2ad-f46f-4759-bda1-85dbb9d1e427") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "9094178a-1209-4237-b4e9-10106e7aaa00") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cf4cf408-6261-4d50-8725-2dee68363566") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "75147fab-2931-49df-a099-18c0bdceda9d") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f413bad1-a082-4346-bef9-1e00aa3972c8") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ab1a3b48-dd98-4419-8e45-e4b42b59710b") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "6b8d570f-0665-4e0e-a694-a44aff4aa173") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0beb867c-c3d8-45a9-8b10-8d038a522c90") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2636ae88-f1a3-45db-9bc6-f2269aa83c67") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ca7a1a1d-5faf-4298-898e-e7bdbed365d7") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "04200a67-2a65-4d51-b349-f187fd062259") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "041264d2-947d-43a3-80be-7766031e554c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "726a6194-db70-49d8-baa2-d52dbd4588be") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "d0b91745-7585-4db9-95cd-09fa014c618e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "714f0ba3-39dd-4aa7-8a47-1c9d1f1e5c97") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "19a53ab0-d3e8-4ff5-b4cb-e57923f4fc0a") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "e8fc9986-8f2e-4ec5-893f-c3f05f1b099c") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "9ca57e91-fc07-45ef-a355-52aa0d79d7f8") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "COL0") + (pinfunction "1") + (pintype "passive") + (uuid "924ee876-a336-469a-a56e-6965320a3020") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "Net-(D6-A)") + (pinfunction "2") + (pintype "passive") + (uuid "27bab821-85c9-4ada-b999-63f4d17b6ddd") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "dd97b514-990b-42e4-8a79-7e40d09c5404") + (at 137.565 100.996028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH12" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "57bb8910-6344-4663-964e-a98e6c81c1bc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "37144855-126b-4acc-88b6-8e6ba47c4bf5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ef6d0901-9538-4411-82d1-2f9656e1d004") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dade35a7-0bc8-4b34-8e3e-5c3d8809efae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "77d8fb19-c4e7-4137-8305-cbb200f0a052") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/4777fc05-1372-4135-a99c-ae85d388ec56") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "31fef3ce-12fa-430b-927f-97392fd85356") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "5bbc6040-a3f0-41f4-a1e9-360fc485e6e0") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "f2fbf82f-3e23-4fd4-9184-3fa264eeb371") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "70103a77-06b2-464c-8937-e58212ea0802") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "26df9fea-704a-41b0-b322-ea37d1b616fc") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "50934bc7-92ca-4d14-8416-67907cd024b5") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "693aa46e-c545-4864-8603-0bfc46787f92") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "3a37e12f-e2d3-48e2-9c74-8e1b9130eb8f") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "3718a8ea-c081-494b-95cc-4d737de6bf1e") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "55b1161e-baa4-4209-b5b0-7d864ccfa4ec") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "31c8e754-a3d6-469e-892c-93fd6d0ad6e0") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "c29662bd-e7c9-46c3-ba0b-81e480382449") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "80058cac-b85f-41e3-835e-84eee5efa791") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "f67cf872-c826-4cb1-98fd-596e0af20754") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "357475ca-2d55-41b4-8c4c-2228e8294527") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "d1c7cb2b-b26e-466b-9ac7-578e98d57f4d") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a2099ecc-131f-4427-8930-bd88f7c36f76") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "32cdc749-5305-4554-a5e3-a2337d27d5d2") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "185fdedd-d742-4fc7-8905-2424e795ce72") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ecaddc07-8f57-4853-a9ab-43ddcc5c01cc") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "a9e326b3-a3df-4bb9-928a-4898d0d31074") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "a85ac682-9fe5-45ab-b178-4330056b205f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "7e6de06b-5c53-44f6-a979-fe84059a82a3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "0bb6f8f1-7e7e-41a3-9cfe-d380ed3170e2") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "5a129b4a-e2b8-452c-bf8d-b1f126a479d7") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "4c6d4f07-54de-4dd1-b7d5-fea51e190726") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "3c81563e-9caa-4b88-96b5-d86b36f686f5") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "e82c2f23-77da-4704-badb-d3559b97e0bf") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 16 "Net-(D12-A)") + (pinfunction "2") + (pintype "passive") + (uuid "326440ef-0c09-4995-a715-1895f435e268") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "ed7760ab-4412-4679-a8d4-28f4d7844e9a") + (at 146.65 67.275 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D2" + (at -3.65 -0.05 -90) + (layer "F.SilkS") + (uuid "e8d65cf2-0a20-439f-a828-81e65ae83519") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "9b582b7f-2a88-4f75-a9e4-9532dffacb50") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bf7e90d9-e5e8-401d-b588-67fce3ad0f4a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e831fb04-58f3-4d93-b65e-b3d7989db817") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5dd334d9-d5d4-417a-bd8f-5cea4fd43b80") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "800d2a01-65e1-411a-afeb-db200f6e8920") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "F.Fab") + (hide yes) + (uuid "7fb30fcb-5138-44e5-b4ed-04c64a793baf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/0192b181-1293-4502-ac4a-a83c6686ebad") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ec31c4cc-80ff-4095-8fcb-8a8861cc98b7") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "903630b4-bf2c-4416-b295-5135b26fdf2d") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "15eeca63-f008-4844-8199-a79e19cfea6d") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "39dc9238-a372-4595-9968-b92425cea9c5") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1aed1c91-ff1c-4bee-adbc-ae0c7cfc1291") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f8802a9b-a33a-465f-ab82-22493b320461") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c4c4a465-fe00-44e0-abae-a3d601d625ab") + ) + (fp_line + (start 1.4 -0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6fd6aea8-e940-4ce8-9fdf-eb4712af71b5") + ) + (fp_line + (start -1.4 -0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4feeef2a-e728-4f79-9f91-40904acc8c99") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6e56bfa6-d8f5-42c2-88e1-c60d5fda04ca") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "505914b3-e7ca-4b2a-b9fc-f34a5717f2c8") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ddafd21e-44b0-4f92-bbff-9fe524f61016") + ) + (fp_line + (start -0.349999 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "18ae7325-6cb3-4407-b998-d702fdc88e3f") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "51059c44-a0fb-4f7c-93a8-f0885a2ac35d") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2f54577c-992c-4a31-8a0c-076518850b0d") + ) + (fp_line + (start 0.25 0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "048d492e-975b-46b7-ad1d-06aa5f149928") + ) + (fp_line + (start 1.4 0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5fa0702e-8ce1-445b-a78d-d71937b7819a") + ) + (fp_line + (start -1.4 0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "01b70028-7807-4839-a86d-6d3684d9688d") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.000001 -90) + (layer "F.Fab") + (uuid "1033774e-6f41-4ac9-b5a1-866e5b1c002a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "b46f125c-7b13-4468-8880-f9c9d41701fd") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 4 "Net-(D2-A)") + (pinfunction "A") + (pintype "passive") + (uuid "06d6b80b-6fde-4670-bd95-05b769573b3e") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "f1dca7d1-ae80-4dc2-905b-f4a674a70017") + (at 137.565 63.658028 180) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH2" + (at 0 -2.75 180) + (layer "Dwgs.User") + (hide yes) + (uuid "7d1fa558-1e6d-410b-8eed-376a02ed57b8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 180) + (layer "F.Fab") + (uuid "284f6833-5506-4364-a28e-7ecbca02f2f6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "073a2ad4-c99a-445e-b8c8-a804de812e37") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b32661ca-f3f7-4860-ad84-31f19a743ad2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "504e68c6-3856-4a46-bf21-a61cfb66db5d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/5816d726-7cc6-43a4-8461-8c962fd7e1f0") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start 9 8.500001) + (end -9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "693c787a-b69f-412d-af84-6472dc17f2a9") + ) + (fp_line + (start 9 -8.500001) + (end 9 8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "c80c0a53-7e9e-47ff-8357-a5b2fe80e6af") + ) + (fp_line + (start -9 8.500001) + (end -9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "b25d43e9-02df-483e-a48d-753d5547b4b1") + ) + (fp_line + (start -9 -8.500001) + (end 9 -8.500001) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "9894a6ec-8fb3-4c6d-868a-ab7a778ad2b4") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "510a306e-cc1f-4326-8040-16e80b950373") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "5f727e2c-d68d-4c00-bf69-d7cedc8a4e60") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "d6243fca-fe66-49f0-8f78-b95f48e66ce0") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "cde834a0-ecdb-47b2-8844-2ac142af1563") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "990e7171-cbdd-46db-adca-946dfa25cf65") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "9a4949e7-cd2b-4901-9dfe-69062a0d088f") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "5b2a7a14-4a03-4a8f-bf05-464f479a944c") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4c894139-1365-48bb-a764-75d98dd30c4a") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "cb8e5447-7135-4ca5-9c43-6f7b08b616fb") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "ed980288-022f-4d83-b230-579cbb79a5e3") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "30520cd3-692d-4401-a815-fc46fda4d8ee") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "a3d28d0b-b17b-432b-8273-96932d4a7e63") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1be1e012-3d07-4c30-9781-988bf4320281") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "33668c30-b960-4e75-82a0-b061798c1826") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9fd1ce43-4533-4c58-bcd5-bc6fc81c423c") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5c1a029f-1feb-4704-9b05-fd564a341cea") + ) + (fp_text user "18x17 spacing" + (at 0 -7.600001 180) + (layer "Dwgs.User") + (uuid "b2855b42-832b-4d20-a44b-6779e66d29d3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 180) + (layer "Eco1.User") + (uuid "544f4692-d9ea-41d8-bb40-d967f79b62b8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 180) + (layer "F.Fab") + (uuid "4fe23b15-133b-4e67-8efb-a2a6a9f5cab7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "20029dcb-d9d6-4d28-8fbf-ad52e781d6ed") + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "8dbd2e36-6030-4fe2-8b1c-49121b7c427a") + ) + (pad "" np_thru_hole circle + (at 5.5 0) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "1cd4ef6d-62be-4dcf-837c-8a35a7f5585c") + ) + (pad "1" thru_hole circle + (at -5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "571b3f49-0538-4cfd-b848-c20f345d8d7d") + ) + (pad "1" thru_hole circle + (at 5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "COL1") + (pinfunction "1") + (pintype "passive") + (uuid "a31b92ca-e157-43ac-a623-0c47bf1601b4") + ) + (pad "2" thru_hole circle + (at 0 5.9) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 4 "Net-(D2-A)") + (pinfunction "2") + (pintype "passive") + (uuid "7cf7e996-7f58-41d5-ba6a-327fd9f59dfe") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (layer "F.Cu") + (uuid "f2b114c6-9811-4ae3-8277-6fcc9ed71afa") + (at 83.075 127.158312) + (descr "Reversible dootprint for Kailh Choc style switches") + (property "Reference" "CH16" + (at 0 -2.75 0) + (layer "Dwgs.User") + (hide yes) + (uuid "a25b95a2-acfd-46fb-8e6e-f06680ebe07e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "kailh choc" + (at 0 0 0) + (layer "F.Fab") + (uuid "9e30a9f2-f5fa-4adb-a2e7-38dcc91f1a40") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_marbastlib-xp-choc:SW_choc_Reversible_1u" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3ee81331-9bea-419c-83ff-129635c08c84") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "af9e639f-e974-4fb8-93eb-329f63d1d1a0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e8a15403-1509-4499-b35d-fe3a3b8d3f23") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (path "/0b72753a-532f-450f-bb1e-bea1845b0475") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr through_hole exclude_from_pos_files) + (fp_line + (start -9 -8.5) + (end 9 -8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "79b42b8e-49d6-429d-bb9a-0eeddf41c91b") + ) + (fp_line + (start -9 8.5) + (end -9 -8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "89f88d70-d2f9-441d-addc-ae52c28afcf6") + ) + (fp_line + (start 9 -8.5) + (end 9 8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "85886a07-b54b-4efa-8291-ee242df2b0e5") + ) + (fp_line + (start 9 8.5) + (end -9 8.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "Dwgs.User") + (uuid "42f9b8d5-8ee9-46a2-80d1-430ac78ab157") + ) + (fp_line + (start -9.525 -9.525) + (end -9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "04e4d767-0f39-401e-96f2-876aed053a1f") + ) + (fp_line + (start -9.525 9.525) + (end 9.525 9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "e27a350b-3615-4ebd-9767-d112c9202bd2") + ) + (fp_line + (start 9.525 -9.525) + (end -9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "3046bd82-3fd4-4f13-b4fd-4bcf63683eca") + ) + (fp_line + (start 9.525 9.525) + (end 9.525 -9.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "Eco1.User") + (uuid "55ab5c6b-ecf9-4b70-a23c-8453c3be2139") + ) + (fp_line + (start -6.95 6.45) + (end -6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "7de9996c-0ec3-4fed-8810-4a1750d9f76b") + ) + (fp_line + (start -6.45 -6.95) + (end 6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "4765dbb8-e010-440b-a755-be2006981e5e") + ) + (fp_line + (start 6.45 6.95) + (end -6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "e3fd2b7a-6b44-4659-a79c-860d3f2cd0a7") + ) + (fp_line + (start 6.95 -6.45) + (end 6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "de03b54a-a2f6-43ff-a173-9a2b80e7d064") + ) + (fp_arc + (start -6.95 -6.45) + (mid -6.803553 -6.803553) + (end -6.45 -6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "c0efa1b4-07a8-426c-8f85-8cf88f460aae") + ) + (fp_arc + (start -6.45 6.95) + (mid -6.803553 6.803553) + (end -6.95 6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "19cb5d8c-3198-4513-af2e-b427e50915d7") + ) + (fp_arc + (start 6.45 -6.95) + (mid 6.803553 -6.803553) + (end 6.95 -6.45) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "c9b6e0eb-094d-442d-9455-fab66841f7c1") + ) + (fp_arc + (start 6.95 6.45) + (mid 6.803553 6.803553) + (end 6.45 6.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "Eco2.User") + (uuid "de05ddad-49ac-462e-851a-712d44c092cd") + ) + (fp_line + (start -7 -7) + (end 7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "161974e4-0670-49c5-9241-df28088c8d40") + ) + (fp_line + (start -7 7) + (end -7 -7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9b572fb3-e371-4373-9b4f-7dc6e6a18959") + ) + (fp_line + (start 7 -7) + (end 7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b5f60763-dea0-4a1c-9fe3-7a681090f793") + ) + (fp_line + (start 7 7) + (end -7 7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "102e5eff-dccd-4d8c-b9b6-ec558d94c3b0") + ) + (fp_text user "18x17 spacing" + (at 0 -7.6 0) + (layer "Dwgs.User") + (uuid "9fc5bf6a-bba0-47f7-b986-3a761a8eb818") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "19.05 spacing" + (at 0 -8.7 0) + (layer "Eco1.User") + (uuid "683ce9f3-7691-4b7f-888a-104ba5b7652a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.5 0) + (layer "F.Fab") + (uuid "6269818d-37a2-48a2-b94e-39bb1c92950f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at -5.5 0 180) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "faac0808-2f76-44bc-8d3c-578f9f5f3b83") + ) + (pad "" np_thru_hole circle + (at 0 0 180) + (size 3.4 3.4) + (drill 3.4) + (layers "*.Cu" "*.Mask") + (uuid "6d7e5ea8-cff0-407f-b2a2-16ee6029910d") + ) + (pad "" np_thru_hole circle + (at 5.5 0 180) + (size 1.7 1.7) + (drill 1.7) + (layers "*.Cu" "*.Mask") + (uuid "4cdb90c2-977c-4d1d-a2e3-9950d2f73528") + ) + (pad "1" thru_hole circle + (at -5 3.8 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "15758899-bd7f-40d8-b426-bac2134dc989") + ) + (pad "1" thru_hole circle + (at 5 3.8) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "COL3") + (pinfunction "1") + (pintype "passive") + (uuid "c6b3ae58-baa5-46a1-806e-340cbfaf7149") + ) + (pad "2" thru_hole circle + (at 0 5.9 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "Net-(D16-A)") + (pinfunction "2") + (pintype "passive") + (uuid "a2b44c17-b9a8-49ad-9e6e-d03b20e3a641") + ) + (model "${SCOTTOKEEBS_KICAD}/3dmodels/ScottoKeebs_Choc.3dshapes/Choc_V1.step" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 180) + ) + ) + (model "/home/vinnie/Sandbox/keyboard-pcbs/Kailh LP Choc PC Keycap.step" + (offset + (xyz 0 0 2) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "F.Cu") + (uuid "fe5bb8e2-363d-4ae3-85db-b931818ce4a6") + (at 62.343158 122.30466 180) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D17" + (at -4.106842 -0.103652 180) + (layer "F.SilkS") + (uuid "2570ef57-1f25-4270-887c-ba2c4660f968") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "1N4148" + (at 0 2.1 180) + (layer "F.Fab") + (uuid "55ef1f85-d59c-42a8-b771-33bba3fed4c7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7146967f-ccfb-42c3-a969-d02fb955750c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c37ceef9-1762-44d1-9089-aef2cbc1fda2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bb043155-10a6-47fa-b2c4-4a06a1288b03") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -15) + (layer "F.Fab") + (hide yes) + (uuid "b4839963-6451-4807-be01-86e0b16938b3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -15) + (layer "F.Fab") + (hide yes) + (uuid "243cf857-2edc-45fd-96bc-6257d65401fe") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/bae15324-28e1-4c93-86b6-340caaaa83d9") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "95a13207-b947-4a17-a778-3cdbf55cdeaf") + ) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ece22186-1546-49f3-824e-c1eae53b83e9") + ) + (fp_line + (start -2.36 -1) + (end -2.36 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7896948f-2aae-41c6-a48c-c336dedaecc6") + ) + (fp_line + (start 2.35 1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4a2f552e-61a9-4999-b0c8-baca0c17410c") + ) + (fp_line + (start 2.35 -1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fdcfaa23-aa25-47cf-8741-02453724c21c") + ) + (fp_line + (start -2.35 -1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bb5d096d-d066-4d33-9336-311e4637053a") + ) + (fp_line + (start -2.35 -1.15) + (end -2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "879f9da8-3ca8-4ac8-adb3-e1afcccd3a51") + ) + (fp_line + (start 1.4 0.9) + (end -1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "004ef5fd-c8b5-4b3b-95bf-ba3f3ad1d85d") + ) + (fp_line + (start 1.4 -0.9) + (end 1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "55e46a7b-6dc3-46c1-a0f9-242a56f10fb5") + ) + (fp_line + (start 0.25 0.4) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dce2710b-c62b-46cf-94c5-c786908b1134") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b274b8b5-a05d-4138-b1ce-4344dbbb304a") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "67fb3b64-722f-4fc9-9ae6-f101b1500587") + ) + (fp_line + (start -0.35 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f10c8a28-a032-43a8-9959-8e1cb8ba680f") + ) + (fp_line + (start -0.35 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4d741f1e-cd4a-4d71-b70d-fbb1ebf23486") + ) + (fp_line + (start -0.35 0) + (end -0.35 -0.550002) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "726b045a-3f69-403d-bbf2-8c77caebef2f") + ) + (fp_line + (start -0.75 0) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8a129904-e7b4-4e8a-869b-693b1e1fb0f8") + ) + (fp_line + (start -1.4 0.9) + (end -1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0a9ee02d-aebf-4a4c-9c7e-f93039ca128f") + ) + (fp_line + (start -1.4 -0.9) + (end 1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e98cd3ec-b631-428f-beaf-0a4a90f94262") + ) + (fp_text user "${REFERENCE}" + (at 0 -2 180) + (layer "F.Fab") + (uuid "adb713ba-0402-49f3-90cb-1ab8b47d6a52") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.65 -0.000001 180) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 25 "ROW3") + (pinfunction "K") + (pintype "passive") + (uuid "3ee23374-5c06-426f-81f1-32c586a69ac2") + ) + (pad "2" smd roundrect + (at 1.65 0.000001 180) + (size 0.9 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 21 "Net-(D17-A)") + (pinfunction "A") + (pintype "passive") + (uuid "894951fd-fb49-4cbf-ac8e-cbf3807074bc") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_SMD:SW_SPST_B3S-1000" + (layer "B.Cu") + (uuid "03711e36-4e3d-4086-a9f2-15de663c7b71") + (at 153.24 45.935) + (descr "Surface Mount Tactile Switch for High-Density Packaging") + (tags "Tactile Switch") + (property "Reference" "SW2" + (at 0 4.500001 0) + (layer "B.SilkS") + (uuid "283947b6-93b4-4790-826c-4415871c1503") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "SW_SPST_B3S-1000" + (at 0 -4.500001 0) + (layer "B.Fab") + (uuid "23c605d0-0ef6-4f35-8cfe-ca94ad3f7dd8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_B3S-1000" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "6aa92aa6-512e-4e45-9b61-059fed7e1c19") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "8b1e7e42-6e5c-4523-adcb-0153682f7e99") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "428afcf2-4b0c-4e29-90b8-67c129b2b77b") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (path "/97af00f4-0b76-4cc8-a5ec-cba425ce1a13") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -3.15 -3.45) + (end 3.15 -3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b88a074e-b445-461e-a570-79002707732f") + ) + (fp_line + (start -3.15 1.300001) + (end -3.150001 -1.299999) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d4367410-7ad5-4c54-a3e8-86db78b00fef") + ) + (fp_line + (start -3.15 3.45) + (end -3.149999 3.200001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d2f4f940-3a7a-400e-877a-81875e450cd5") + ) + (fp_line + (start -3.149999 -3.200002) + (end -3.15 -3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7a081038-0bad-472b-a437-7ca0f0c9ed07") + ) + (fp_line + (start 3.149999 3.200002) + (end 3.15 3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b7460f69-e863-4f8f-a67f-1c1c9d827347") + ) + (fp_line + (start 3.15 -3.45) + (end 3.149999 -3.200001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "db4e882d-6b2c-45e2-bc65-5c73eba7afc2") + ) + (fp_line + (start 3.15 -1.300001) + (end 3.150001 1.299999) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "17647fc5-46a1-4943-8829-f46f5fa4d9dd") + ) + (fp_line + (start 3.15 3.45) + (end -3.15 3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b68807ef-f825-4722-89af-2693c14d72df") + ) + (fp_line + (start -5 -3.7) + (end -5 3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "603a38d8-a905-4ca1-852d-690d02c6394b") + ) + (fp_line + (start -5 3.7) + (end 5 3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "7e059d56-1e69-4c49-961b-ada58934f6b3") + ) + (fp_line + (start 5 -3.7) + (end -5 -3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "e010fbe3-1584-4821-8e00-b2ead6e9be98") + ) + (fp_line + (start 5 3.7) + (end 5 -3.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "d8a290ca-2f8e-4710-92b2-2dae4fec5121") + ) + (fp_line + (start -3.000002 3.299999) + (end -3.000001 -3.300001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "03fd902a-4dba-4767-99a0-4125c2a4de0b") + ) + (fp_line + (start -3.000001 -3.300001) + (end 3.000002 -3.299999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b83e6b3f-ba9a-40a4-8741-8459211bf67f") + ) + (fp_line + (start 3.000001 3.300001) + (end -3.000002 3.299999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d54e396d-3ed8-42aa-b7eb-e34ac0fc5c1e") + ) + (fp_line + (start 3.000002 -3.299999) + (end 3.000001 3.300001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8ea4ca7c-7944-4c32-8461-9d396c7a3426") + ) + (fp_circle + (center 0 0) + (end 1.649998 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "B.Fab") + (uuid "eeab0b54-3b32-45cf-b119-c6647b01437c") + ) + (fp_text user "${REFERENCE}" + (at 0 4.500001 0) + (layer "B.Fab") + (uuid "69a6ad98-30be-4253-9601-bf4bbf7adea0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd rect + (at -3.5 2.250003) + (size 1.55 1.3) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 30 "RSTR") + (pinfunction "A") + (pintype "passive") + (uuid "89a4a09b-9564-41d9-a7cc-0bf97989fd44") + ) + (pad "1" smd rect + (at 3.500001 2.25) + (size 1.55 1.3) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 30 "RSTR") + (pinfunction "A") + (pintype "passive") + (uuid "9eb65f10-2e0b-4d77-8bc1-1ca121634e7b") + ) + (pad "2" smd rect + (at -3.500001 -2.25) + (size 1.55 1.3) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 29 "RSTG") + (pinfunction "B") + (pintype "passive") + (uuid "599d2428-a3a4-482c-9d94-2326a1ef1702") + ) + (pad "2" smd rect + (at 3.5 -2.250003) + (size 1.55 1.3) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 29 "RSTG") + (pinfunction "B") + (pintype "passive") + (uuid "7b9d414c-54ef-405d-8540-c67b01d51a2a") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_B3S-1000.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "0e149903-b186-494c-9ba2-68939c5724d4") + (at 128.5 75.6 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D8" + (at -3.65 -0.025 -90) + (layer "B.SilkS") + (uuid "50af0dac-cb6d-4b79-b1ef-a60e6ee42f98") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "fcb8cc3c-f3c0-490b-a290-78f1b0d9b5f7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cdd39562-60c2-462c-b328-94463db146bc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "25b0fe8a-3377-4a57-91ca-aa29def43086") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2f3a3069-6bec-48eb-ae27-72e1c537a458") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "d480ef25-69eb-4fcc-b7fb-241c16e37c56") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "217a88da-2f1c-4f23-86b4-54c487c5cb92") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/dcc6aa1d-afc4-413c-b2f4-6380f1083d7d") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d17f1d12-c831-4973-92f9-5392c928faf1") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "3f7bce8b-2250-4fd9-9f68-c52340ac3263") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "8ee9848d-9bf4-4b55-b0c1-c84e8a19d60f") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "c93774ed-45a2-473a-bb22-249fece7aa05") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "28c1453b-c044-40df-8890-3f4e6ae2aa31") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "476605ed-4c58-4ca8-970b-f3e014e82db1") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "b3f61439-c0c1-4ebd-98b6-1ebb52f7c0c4") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ac87bdae-d404-40c0-ae36-46bf7e6e1b7a") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a66f901c-999f-4f1a-893d-e53f8e0c50e1") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6d79972e-9b7d-4483-ac36-4cb370a6fac8") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e7b55298-222a-4106-9677-107b23649f32") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "50fe09f1-4c26-462b-8662-bf3f9a44ce88") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8f5be6a7-9500-4a69-8dd5-b9cce7490404") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "27f22efb-86ea-462d-ba2d-703af59819b8") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0cfa6330-cf44-446b-82c8-8f71f60198fd") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e77f3a7b-0037-4b58-8513-151b3a9ccbf8") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "c3fa4fa5-c85d-42eb-83e9-815247863268") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "81098888-87f3-4d9d-84fa-714497a3f599") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "58448f84-e237-46da-801c-6de4c1b014db") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "f0019191-d948-4aa2-a106-e21475d5e609") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 12 "Net-(D8-A)") + (pinfunction "A") + (pintype "passive") + (uuid "cdb28b59-6247-4a94-8765-cb8e8395b6ed") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "17bc9f63-f589-4202-8019-8bc45017c6ce") + (at 91.925 61.525 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D5" + (at -3.6 0.025 -90) + (layer "B.SilkS") + (uuid "a843f96d-88bc-4ff5-9309-f5045b7e253e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "080be077-ffa2-4c51-a0d1-373ed32b5151") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "88103392-d760-471a-9d9b-bd7aba4169e8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "88c49c75-605b-488d-b7d4-52d9ebad179f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2c1d531e-8e99-4996-9a63-a3890950bd62") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "83263154-5721-42f0-8e16-e1041fa4ea88") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "6110a5f3-4c3d-43f2-8467-6d489943968a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/baaa4cf8-49d1-4f9e-909f-a1bd7eb28437") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "c94b6c3d-81b0-4edb-b820-262f21218bb8") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "46041250-995e-45b6-876a-076ef171c4fa") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b3b9e3ed-0f94-4da9-bd73-e653bf6c28f8") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "e490bf7a-b1b3-4b64-a441-76778046faac") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "963e23fb-88fb-4374-b38e-4f67b2f5240f") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "9ed81f19-7c4e-42bd-a07b-446b705d95d0") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "94c93bc6-7e6c-46ad-9fa1-3c2dba0329b5") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "82b78caf-e6b7-48be-843f-926888ea7f74") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "11e28d2f-7d89-47f8-87f6-8f18380aed13") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "088df756-f276-404d-a402-fdc50e71f985") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "5fc921d6-e01e-4ef0-a52e-a888859d693e") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "364d97df-9454-4b70-9420-720d53c67e8a") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "99b1edd7-91bd-405b-a37f-65fcf9a1cc0e") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dffb770c-021a-4937-9f13-3ca296509499") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e472d9c1-3c90-49db-b61a-38c731a47794") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "171f2746-a357-4677-843c-924d01312bfd") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "1559c17e-72fd-48cc-bb79-37caa09253f3") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "89ab9f86-12ce-4dd3-bde4-3ab6dbc3914c") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "89f0f97f-d242-4af2-93cb-d7ed9d896d92") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "4f34df50-e508-4410-b5bb-823c75d26efb") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 9 "Net-(D5-A)") + (pinfunction "A") + (pintype "passive") + (uuid "1ec03d70-fcdc-4a38-b606-fe87fb658649") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "1e93493e-c5e8-4b1c-99d1-ade9a2c58913") + (at 62.343158 122.30466 180) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D17" + (at -4.156842 -0.153652 180) + (layer "B.SilkS") + (uuid "14e4d396-d554-4cc3-9bd6-c80ac8e113c6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 180) + (layer "B.Fab") + (uuid "5c99e2cf-521e-4cac-b23a-9ebdf615cb0f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "721070fa-69b3-48fb-9a5c-e2b38c505fb2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "04b6bd18-4268-48f1-90e7-8f054d73175b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5fce49c3-6456-4285-b17b-41ae7e9d1314") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -15) + (layer "B.Fab") + (hide yes) + (uuid "72eaf443-d379-48e4-9d9a-5d6d16c913ac") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -15) + (layer "B.Fab") + (hide yes) + (uuid "2e60f953-c67f-4d7a-b075-24e134d87517") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/bae15324-28e1-4c93-86b6-340caaaa83d9") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "52d72c5f-ab2b-408d-b4b9-f24153518706") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b737bff5-35c1-4108-a021-2baf41aed3c1") + ) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "0acb3bee-07c6-4121-b5fe-00b22e2fa630") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "2984d106-8e5c-4b01-88e5-96ce235f7fb7") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "0f28f130-8cac-4886-8311-470d6c9c7802") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "da28b278-9db2-41c2-8f92-37c745af8413") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "7e8b094a-2398-4968-9744-fc16d974f2e7") + ) + (fp_line + (start 1.4 0.9) + (end 1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "00da135c-0a99-49ff-b157-078c59af03fe") + ) + (fp_line + (start 1.4 -0.9) + (end -1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "cadfc1d7-d3d3-40d0-ad72-88a54567a817") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fc8a8328-5f8b-42fa-b217-d2da63c9f3bd") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a44210c8-0b35-4e6e-bd4c-209ef6616340") + ) + (fp_line + (start 0.25 -0.4) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "51251531-debd-4548-92fd-ffa7f01cb1d9") + ) + (fp_line + (start -0.35 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "36a2b503-9bf3-4f1c-895d-4a802c15fe60") + ) + (fp_line + (start -0.35 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0934a8f6-f72e-46cd-b772-f4a21d2cccb2") + ) + (fp_line + (start -0.35 0) + (end -0.35 -0.550002) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dc55aa2d-c83f-4a5d-84ac-6c3db80b6bd9") + ) + (fp_line + (start -0.75 0) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "03b0b52a-7ef1-4d61-a7c3-155bb6b3164c") + ) + (fp_line + (start -1.4 0.9) + (end 1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7d1f2a12-61b8-4700-bcb2-f7be3b644f62") + ) + (fp_line + (start -1.4 -0.9) + (end -1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "2df99cc0-c5c8-4194-90a9-ccfd69a6987a") + ) + (fp_text user "${REFERENCE}" + (at 0 2 180) + (layer "B.Fab") + (uuid "ec94ec23-8561-42c5-98a1-2c61dc0c0662") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 -0.000001 180) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 25 "ROW3") + (pinfunction "K") + (pintype "passive") + (uuid "e8d98fbe-1e89-4599-acf0-8eb08f4054b0") + ) + (pad "2" smd roundrect + (at 1.65 0.000001 180) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 21 "Net-(D17-A)") + (pinfunction "A") + (pintype "passive") + (uuid "e67c68cc-9091-4b9d-9e24-e80f2cbd877b") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "2005cf11-189e-4f79-a408-05903b43cb99") + (at 110.125 61.575 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D4" + (at -3.575 0 -90) + (layer "B.SilkS") + (uuid "852620cc-f587-4890-85ce-6d9934cbedcc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "b7967359-6644-4cb5-b32d-52bf5e97eacb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "004bf1d4-6bcd-4df4-a895-ec492161f7db") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "25896aff-21b2-4ce6-ae70-2ef0bbac3bc4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a857220f-07f4-4a66-8062-bb578960469f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "060a88f3-1ac6-405d-bd1d-aa07141a5938") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "d89be6af-41e3-49c0-9bed-9d21bba5f471") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/e067fb84-b329-4d10-8295-d864756f032f") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "27d01477-3c82-4366-836f-29644ad2a665") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "56355031-784e-407a-86eb-e963595cf5ed") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "0a416635-350d-4b01-afb8-46457d8a8f9c") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "15d817b6-ebdb-42ee-bff4-c1a308f2594b") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "71851d1f-b5be-45d0-9fa3-9c2869c780bd") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "e6803571-27fd-49f3-8156-15a11580f0b6") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "d3b91820-d07d-4494-bb8d-302a25ba3bbc") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "4d28caeb-d7a5-4ce2-a408-4b47e59ef504") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f57869d6-fc50-413d-98ae-f0f09b449835") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "628d280a-edda-429d-9f72-37f110af4666") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a57282d0-ceca-4f5e-8efe-03873010bf76") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "2d9f862c-4058-4b77-825a-269d32348630") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e1e52b8f-95c2-440f-8c8e-68ebc891d664") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d077147a-12ef-4f06-8a07-8911f880258d") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "1ec74930-e4ff-4657-8369-a1d432cea596") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6aa4607e-7233-4d83-9caa-68a97691c54f") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d1f60ad2-bf84-45b3-b00c-0ef623aa70b9") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b3d04db4-6c06-4373-a5a5-556bb8c0ee2b") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "0c7284c8-25e5-48ed-8a3b-c272ee8c1819") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "d566de58-0ea7-4fba-8fac-260dc442c4c3") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 8 "Net-(D4-A)") + (pinfunction "A") + (pintype "passive") + (uuid "20294260-64d6-476a-92b6-00f043d2c878") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "222b4096-be38-4e45-af96-394929456d20") + (at 110.1 97.625 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D14" + (at -4.1 0.025 -90) + (layer "B.SilkS") + (uuid "3c92c565-01cf-4f79-ab61-bab3536af7c7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "ba19a794-80ba-44d0-bae9-ff4b82c27301") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ad5c2cb8-e4ab-4de2-8c75-73e2ddf95c4c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b3f1d5d7-61a3-443c-b286-d14aca2f8884") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8d6b9b63-55fc-46d8-ab44-02ec684ef1d0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "849638fc-effb-46ee-9db7-b426e5b2856a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "b0cab2a6-2661-4000-932a-9a1f4b1ffcb2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/472fbb51-016b-4cf3-8932-5b216685b035") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b1537bec-aba6-4949-8166-e6a00d802c79") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "a957eb9f-4fa8-46f0-bbe7-5d77f627e45f") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "c0c86999-a462-49ae-846c-c60da07aa4f0") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "2c44b32d-4b55-4b4d-aef5-79693d8ce0cc") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "b73b5112-090c-417f-886c-383a2fe7ffa2") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "954aebd3-5213-4a23-baa7-9dab0722ca3e") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "17f1c463-a896-42c4-8502-afcdf06ce717") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f4e9779c-1d4c-4966-816b-3660b31d7d57") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "587ea50a-005d-468d-8925-5d113a3268e3") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "82c5f91c-ba3e-4e5d-bb0d-7494509858a4") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "af7aef34-ba59-4d8e-be35-85b7acefd334") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ab129c34-f19d-46f4-acf1-b5e636e3627d") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e4b360ab-91a8-48da-b20f-cb44558a4d22") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0ae0e4f2-6028-485a-ac27-c041f58bca6a") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "21f557a1-cd8a-4023-a79f-f9151ae454c9") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dd11968c-03ea-4b9f-b5d5-642608ccdcfa") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0296087a-6d99-41e0-b9d8-6c2c65b2fc25") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "df585828-0d71-4608-ada7-3a50f3ef896b") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "b1cb2aaf-d7fb-4cdf-97f8-66a165ea43a2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "6f741123-cf12-4e56-8518-5f1ddbda1693") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 18 "Net-(D14-A)") + (pinfunction "A") + (pintype "passive") + (uuid "61cc25b8-1ff5-44ec-8372-4170f6ce2fd9") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "474dde95-c1b1-43ef-a7b3-d917c7446df8") + (at 128.525 61.6 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D3" + (at -3.625 -0.075 -90) + (layer "B.SilkS") + (uuid "c7346df9-84a0-4a16-afa0-fd7807e34504") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "286ee6d9-9af1-4b27-a740-c482cd7fa3fb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4050da67-4dcc-430a-a17f-c8d3259953b0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c5b8a2e4-ce5f-4440-9a1e-9b54b86da553") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "99b2e243-1b57-4433-9be0-fe62199967dd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "4a8b0e94-5a4b-4757-bdcc-0257ccc40e41") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "90a433f5-f46e-4f20-91c5-6e441f81bf66") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/eba80320-50eb-4583-ab9d-7d03e3a07344") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "9f0e8577-9ea9-4d0d-9c7e-07f4568c1421") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "157a0e6e-54f5-4721-af7b-498cb26a7754") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "bfc1bebd-8c45-49c6-9eba-881862aa53ce") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "91ae22c9-7504-4826-bba6-b315d8bd1a4e") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5e7fa140-adcf-4fec-b90f-daea7817ab11") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5f4332a6-8fd9-4e9a-b2d3-cb6856538e5b") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cab9e624-af78-4307-9452-842b0cd807df") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6ba02489-6115-4f89-9479-17e1f605f7e0") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e1d1d21f-ea79-4825-ab02-21bae8d193e2") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fddeaa05-4ef2-4dc3-a143-6365305f29e3") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b3d5aee1-3015-46b4-aad2-f535605eb4bd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "066d51b2-4c89-4773-bd12-195ddb4df2bd") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ade39804-76b8-4833-83ac-d601ee6d03d8") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "76b8ddde-c3f3-428b-998b-bd0d5e7e7d41") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "1129c550-b425-4833-ab52-adb50f665732") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "90aebd3d-0f0b-4844-a788-ab16a88ca67d") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6e52e4ec-6e5d-49a8-a77b-5fef0d0f5e00") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "34a78756-59d8-4d70-9f72-dbcef3774e15") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "53a4fac0-563d-471f-ad22-014ab35f8022") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "caadf34e-49fa-4084-940d-391d3c634d44") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 6 "Net-(D3-A)") + (pinfunction "A") + (pintype "passive") + (uuid "61ae596f-11a3-4ca8-88f3-183da94e0d1a") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "5a1b9d64-61ca-4088-b6f0-975d3ee01081") + (at 146.45 89.35 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D7" + (at -3.6 -0.025 -90) + (layer "B.SilkS") + (uuid "a45c23dc-3ddf-4dbc-a843-d77afd847b33") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "70907283-81a9-4cc1-bf39-42cd8c32b062") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c0651b21-c241-42c2-ba40-5157af34943c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "200acb7c-5ed2-4794-a10b-ab9826ece6b4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "79cdaf3a-4ad2-4e13-aa80-b91e42fb9613") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "31aceeca-83e7-4e9f-b0a6-62927968e77a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "51dfe3df-751b-4034-97f1-16d68a46153f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/1d223807-1828-47fa-8b0c-b605128ae2fa") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "081aeb64-c106-4d22-8771-24bf2af1c9bb") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "cad0a5a7-a696-4e5d-bf00-b364258fcfda") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "fca12b5f-fc8a-44b8-b481-2a9a68ad479d") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "a4388301-7cc2-4fce-af95-a677d28e598c") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cfd3508f-9cb8-4c56-8611-b6e4b585d82d") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "ff66eda5-3141-4178-bea7-c747d21e25b6") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "2dd5089d-e789-4357-bc3f-f4d679775d2b") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "24594bae-395f-4b24-96a2-dd238ccc8ad4") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ae7a6d58-6036-4e9c-a7cf-595951b4edc1") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0155982f-0060-4370-8f69-8acba771d9ad") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d49c8827-8280-4d25-836b-e130b6bd549f") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e7659d06-d460-419e-902b-40475dbdf31f") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "9fc2b2fb-edb3-400c-a4dd-59e02ad92f6e") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "812b937f-bf34-46bc-b4bb-10be5f88258b") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e2259470-18cd-48d8-b03d-b5e7b91a2dc1") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "76830601-64a0-4f6e-9e53-2d0d01768b62") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "4f6d4ba3-b610-4c43-9eb6-55837d5f8ae7") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "eeeb33f8-5a0c-48e3-9ac8-c0bcd7fa05c0") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "15f3a922-e351-4ccf-bdbd-7edb1bef7ad7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "ac572f6e-da3a-4293-a3ef-9f5f3b431588") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 11 "Net-(D7-A)") + (pinfunction "A") + (pintype "passive") + (uuid "8edd4563-c51e-4730-946e-306a5d38d486") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "621bd239-b4bf-4f96-b5de-30a6458c1e5e") + (at 110.225 79.125 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D9" + (at -3.775 0.05 -90) + (layer "B.SilkS") + (uuid "4706c0fa-c81e-417b-9b14-f0c65c4cd24d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "c3168baa-441b-4091-b21d-157a25438bbf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a0a2f318-0bbe-4fd1-97f7-a50cd166310b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7941a87d-8a05-46cd-ac50-adbdfe11cae1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6d798f24-c377-4ef0-97d7-086c61e857e1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "0d4f1849-3164-4feb-97b8-12f8adf1810b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "32def5d2-a954-47da-9bfa-f9b145132cb8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/6bed7803-1ac9-4d4c-a092-bc189ec9e755") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "cad434af-c35f-4235-a7d8-59d6352c83d5") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "9e3fb89f-a70d-4de1-943b-98d790f5afcb") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "591befbd-b9b7-452c-8e1c-db53d590bd6b") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "2a7cbc82-2089-4c66-9722-564167fd6f14") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "db765b4d-d076-4a85-80e4-03345a9f70be") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "c2b53531-772b-40c8-9ed2-22a2425e0fcd") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "fa8640d3-2594-4804-92c0-26eb2cdae3d1") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8925a825-1eac-4593-866e-36835cb7a10b") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8de5bf6d-a638-4052-a5d7-c5f3060950e1") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "3ce0f5f5-78bd-43f2-83f1-9edad64df144") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "bf720c77-c32d-40ab-bd2e-4a1ed4526cdc") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0279438b-0467-4905-b566-cdc82c8e25bb") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f04e405b-bb71-4f6f-81ad-8be8b9c57a64") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d7ba6775-4546-45fd-a6e6-ba0fc5ecaf85") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "98d8b239-7a3b-4f82-a42b-580a6cae8c0f") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "47de4d17-664e-4343-84d2-48ea137a1790") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "23b1ecf2-6edf-4ebe-82c9-e05f6ac7793c") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "bf9c6633-052e-4aa0-b820-7e7fd474c327") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "a9f50049-bc8a-4319-b106-092f14507e7b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "ea04a079-421f-4000-a120-80cf6a2a2129") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 13 "Net-(D9-A)") + (pinfunction "A") + (pintype "passive") + (uuid "d0fe11cb-5f65-4d31-8fe6-ef29cc0f0dcb") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "63f494bd-fd6c-4129-acdc-a505a11174f2") + (at 128.45 97.575 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D13" + (at -4.075 -0.05 -90) + (layer "B.SilkS") + (uuid "202420c6-c4bb-4775-ad89-2706b6ca19fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "73b7e406-8006-4380-9ea7-3334fecf3528") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "84d9b217-a7e2-4f37-872f-dd03f83fed2c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "72f8795e-2b64-418c-9a49-bbf0e6e3186e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "08893541-fb8d-49ce-82b6-705db3e151ae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "e664ed33-4e31-44ec-b7f5-686da639697f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "93060870-43f4-48fe-b694-895a06eb26f5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/1f44bb20-cf94-446b-b48a-f05455ab4773") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "05ce6010-e5fc-4647-b308-c9a2f8157c5e") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "fea83436-d6b7-4f41-b30d-a5c527010f9c") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "64bf7925-96c9-40ba-86d4-35837e4d8045") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "4ae7bbd4-1ce2-42de-9f1c-7a87e317dd23") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "3c159167-c2bb-4ec5-b7e1-96bea2bba36a") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5ceba53e-48b6-4ae7-9c80-9f70fb36a438") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "7c400125-8f94-4795-8387-5f360470362c") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6cf4f3cb-5044-4c11-b4b3-1306f6207665") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e2a3a046-37df-4b8e-9e16-28d88afc090e") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "362018e1-2e63-4729-9e5c-a0e2b46f890e") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "9a8438c4-0c9a-489a-9d5e-e6fb8de55de2") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fc04eb11-3336-49cb-9bdd-393d8cb6cc35") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "58872063-ce53-445e-9bfe-06ff42c9b8fd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "5658df81-40d6-4d14-b74b-fcf5c5bc808c") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "bfa6050f-57ca-49a3-9218-892141e04596") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7af43931-fc25-4289-a6a9-3da32763a508") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "64bbacb6-4cfe-4c65-b41d-a72446e96351") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e3e1e6d9-ea1c-416d-9db9-0cc7117ab265") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "9857cffc-0616-4e88-b095-d86693a49690") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "6ea9b4bf-cd5f-4fdb-8fdb-4dc9f07ddd1d") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 34 "Net-(D13-A)") + (pinfunction "A") + (pintype "passive") + (uuid "0d91237b-fa7a-4bf1-9d03-75f82d604bae") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "656c93bd-f844-4015-8380-35e522649658") + (at 146.625 106.025 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D12" + (at -4.175 -0.025 -90) + (layer "B.SilkS") + (uuid "fcf7a318-35ee-4087-8994-247a943310d7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "8d590b41-3202-4c07-8852-38a3d1a5c412") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3259dccc-78e0-4136-be5a-08cad49eb224") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "295fbd6a-a5e0-4ce6-9fd8-1c4616e05290") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "776b7589-743d-4e95-aab1-ae29eb7aee42") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "41bbf9c2-6130-44c6-8b1b-31bd4c51ac09") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "612ce482-b109-44f2-953a-6e83261e1489") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/98d1389f-9c3e-47c0-842a-59ed2a1640e7") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "41c91c73-a351-4737-aa6d-0901a13231cf") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "8596e1db-7a53-4641-b1c8-5772da6344b3") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "3688ca8f-8c33-4d42-9b5d-eb19e0b4415e") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "375df47d-e8fe-4ecc-ae3e-59ea7c6ecadf") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cc4c03d1-1652-4d6c-b3a5-9552290d915d") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "df818b49-99fc-44b1-955e-dc9250aa86f0") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "ddadcf40-459f-49f7-ac06-0f778653729c") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "bfe68ae8-7ae4-447c-8415-f89444ffbd1f") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "69f24b14-3f10-4849-80cb-b4889980b87a") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "21ea7ed5-e957-4b49-8733-71ffe4f4e67e") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dd6b697f-62db-46fb-9fe0-424414818360") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e4c06623-a22e-460e-9203-41a93477d7f9") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "78ccd867-1c84-4752-b7c9-728e84de047f") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fa29555f-9753-4095-8bcf-f8015bad677f") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "1b04793c-1731-45e9-bcd1-e7ef23edb931") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "01616f44-81d6-4145-8d5e-96a88ef6bae9") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "57fd81be-b35c-473c-ba8e-7deb556e02c9") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "efc8875c-119b-495d-bb3c-8b417a137cfe") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "fc811dda-74bf-460b-9d68-ba1e90c93cbb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "0b2d39be-5343-4b3b-bb0d-08713ad70233") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 16 "Net-(D12-A)") + (pinfunction "A") + (pintype "passive") + (uuid "b9289dfa-c202-4acc-89d3-6fee99ef64eb") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:WEEE-Logo_4.2x6mm_SilkScreen" + (layer "B.Cu") + (uuid "6e980541-c32b-4756-962b-124a66ed95b7") + (at 115.55 103.7) + (descr "Waste Electrical and Electronic Equipment Directive") + (tags "Logo WEEE") + (property "Reference" "REF**" + (at 0 0 0) + (layer "B.SilkS") + (hide yes) + (uuid "18fff59d-84af-4b50-a4c1-a46b2f650237") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "WEEE-Logo_4.2x6mm_SilkScreen" + (at 0.75 0 0) + (layer "B.Fab") + (hide yes) + (uuid "66ca2285-ce90-4fd8-9641-f60b647c2715") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Symbol:WEEE-Logo_4.2x6mm_SilkScreen" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "4a7155c8-ef4b-4387-8669-c2a083fc40d0") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "fe6dd21f-7672-433a-84db-e80c0105e99a") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "1a4513ef-3de5-43a5-a86e-82b423cd2edd") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.747823 -3.017821) (xy -1.772972 -3.017824) (xy -1.772972 -2.150196) (xy 1.74782 -2.150198) + (xy 1.747823 -3.017821) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "d82ffd93-501b-414c-a34e-f20c39ba6674") + ) + (fp_poly + (pts + (xy 2.12443 2.93515) (xy 2.12381 2.848069) (xy 1.672086 2.389109) (xy 1.220362 1.930149) (xy 1.220032 1.719527) + (xy 1.219703 1.508911) (xy 0.944611 1.508913) (xy 0.937522 1.45547) (xy 0.93484 1.431111) (xy 0.930314 1.385239) + (xy 0.924189 1.320593) (xy 0.91671 1.23991) (xy 0.908117 1.145919) (xy 0.898654 1.041365) (xy 0.888561 0.928977) + (xy 0.878074 0.811494) (xy 0.867444 0.691654) (xy 0.856908 0.572191) (xy 0.846711 0.455841) (xy 0.837095 0.345344) + (xy 0.828298 0.243431) (xy 0.820566 0.152842) (xy 0.81414 0.076312) (xy 0.809265 0.01658) (xy 0.806177 -0.023624) + (xy 0.805117 -0.04156) (xy 0.805118 -0.041644) (xy 0.812828 -0.056035) (xy 0.83598 -0.085749) (xy 0.874894 -0.131131) + (xy 0.929884 -0.192529) (xy 1.001264 -0.270288) (xy 1.089349 -0.364754) (xy 1.194454 -0.47627) (xy 1.316894 -0.605188) + (xy 1.351308 -0.641288) (xy 1.897135 -1.213417) (xy 1.808881 -1.301436) (xy 1.737485 -1.223758) + (xy 1.711366 -1.195685) (xy 1.670567 -1.152274) (xy 1.617779 -1.096368) (xy 1.555691 -1.030809) + (xy 1.486999 -0.958443) (xy 1.414396 -0.882112) (xy 1.37096 -0.836523) (xy 1.289415 -0.75112) (xy 1.223505 -0.682708) + (xy 1.171546 -0.630054) (xy 1.131854 -0.591903) (xy 1.102758 -0.56702) (xy 1.08257 -0.554158) (xy 1.069608 -0.552068) + (xy 1.062202 -0.559512) (xy 1.058659 -0.575248) (xy 1.057303 -0.598023) (xy 1.057121 -0.604239) + (xy 1.0477 -0.647059) (xy 1.024495 -0.69882) (xy 0.992136 -0.751328) (xy 0.955252 -0.796403) (xy 0.940493 -0.810328) + (xy 0.864767 -0.859049) (xy 0.776306 -0.886307) (xy 0.6981 -0.892771) (xy 0.609468 -0.880576) (xy 0.527611 -0.844813) + (xy 0.455165 -0.78672) (xy 0.441797 -0.772262) (xy 0.392918 -0.716733) (xy -0.452674 -0.716733) + (xy -0.452674 -0.892773) (xy -0.679011 -0.892774) (xy -0.679011 -0.81053) (xy -0.681851 -0.754385) + (xy -0.691392 -0.715418) (xy -0.702988 -0.694219) (xy -0.711276 -0.679051) (xy -0.718373 -0.657062) + (xy -0.724748 -0.624986) (xy -0.730874 -0.57957) (xy -0.737216 -0.517546) (xy -0.74425 -0.435662) + (xy -0.749066 -0.374746) (xy -0.771163 -0.089345) (xy -1.313565 -0.638805) (xy -1.411637 -0.738228) + (xy -1.505784 -0.833817) (xy -1.594285 -0.923812) (xy -1.675422 -1.006458) (xy -1.747469 -1.080001) + (xy -1.808714 -1.142683) (xy -1.857428 -1.192751) (xy -1.891894 -1.228447) (xy -1.910379 -1.247993) + (xy -1.940741 -1.278944) (xy -1.966071 -1.300532) (xy -1.979695 -1.307723) (xy -1.997093 -1.299297) + (xy -2.02246 -1.278245) (xy -2.031057 -1.269672) (xy -2.067517 -1.23162) (xy -1.866801 -1.027658) + (xy -1.815596 -0.975699) (xy -1.749569 -0.90882) (xy -1.67162 -0.829951) (xy -1.584638 -0.742016) + (xy -1.491524 -0.647941) (xy -1.395179 -0.550657) (xy -1.298492 -0.453093) (xy -1.229134 -0.383145) + (xy -1.123702 -0.276551) (xy -1.035129 -0.186307) (xy -0.962281 -0.111192) (xy -0.904023 -0.049984) + (xy -0.859226 -0.001468) (xy -0.837023 0.023871) (xy -0.658725 0.023872) (xy -0.636401 -0.261555) + (xy -0.629667 -0.345219) (xy -0.623156 -0.421725) (xy -0.617236 -0.487082) (xy -0.612268 -0.537281) + (xy -0.608628 -0.56833) (xy -0.607456 -0.575274) (xy -0.600837 -0.603565) (xy 0.348635 -0.603564) + (xy 0.354975 -0.524606) (xy 0.374112 -0.431316) (xy 0.414156 -0.348793) (xy 0.472582 -0.280038) + (xy 0.546871 -0.228063) (xy 0.630251 -0.196861) (xy 0.657302 -0.182228) (xy 0.670844 -0.150817) + (xy 0.671128 -0.149434) (xy 0.672752 -0.136173) (xy 0.670744 -0.122595) (xy 0.663143 -0.106183) + (xy 0.647984 -0.084411) (xy 0.623311 -0.054769) (xy 0.587162 -0.014731) (xy 0.537578 0.038215) (xy 0.472599 0.106591) + (xy 0.4684 0.110993) (xy 0.398506 0.184388) (xy 0.324202 0.262561) (xy 0.250584 0.340137) (xy 0.182773 0.411723) + (xy 0.12586 0.471949) (xy 0.113168 0.485413) (xy 0.064513 0.53618) (xy 0.021291 0.579625) (xy -0.013395 0.612759) + (xy -0.036444 0.632595) (xy -0.044184 0.636954) (xy -0.055723 0.62783) (xy -0.08271 0.6028) (xy -0.123023 0.563948) + (xy -0.17453 0.513356) (xy -0.235109 0.453112) (xy -0.302634 0.385295) (xy -0.357826 0.329437) (xy -0.658725 0.023872) + (xy -0.837023 0.023871) (xy -0.826751 0.035589) (xy -0.805471 0.062401) (xy -0.794253 0.080194) + (xy -0.791754 0.08843) (xy -0.7927 0.10641) (xy -0.795572 0.147109) (xy -0.800187 0.20818) (xy -0.806358 0.287287) + (xy -0.813898 0.382086) (xy -0.822619 0.490233) (xy -0.832343 0.609388) (xy -0.842874 0.737211) + (xy -0.851365 0.839365) (xy -0.899395 1.415328) (xy -0.775806 1.415325) (xy -0.775273 1.402898) + (xy -0.772769 1.36789) (xy -0.768496 1.312785) (xy -0.762653 1.240057) (xy -0.755443 1.152186) (xy -0.747067 1.051647) + (xy -0.737722 0.940924) (xy -0.728759 0.835795) (xy -0.718601 0.716516) (xy -0.709143 0.603921) + (xy -0.700596 0.500695) (xy -0.693181 0.409528) (xy -0.687108 0.333105) (xy -0.682601 0.274119) + (xy -0.679871 0.235254) (xy -0.679115 0.220155) (xy -0.677935 0.210762) (xy -0.673257 0.207032) + (xy -0.663277 0.210529) (xy -0.64619 0.222802) (xy -0.620199 0.245406) (xy -0.583491 0.2799) (xy -0.534267 0.327838) + (xy -0.470726 0.390775) (xy -0.403305 0.458032) (xy -0.127599 0.733523) (xy -0.129535 0.735596) + (xy 0.052709 0.735596) (xy 0.061017 0.72422) (xy 0.084267 0.697438) (xy 0.120135 0.657708) (xy 0.166285 0.607495) + (xy 0.220396 0.549252) (xy 0.280125 0.485453) (xy 0.343153 0.418551) (xy 0.407141 0.351009) (xy 0.469764 0.28529) + (xy 0.52869 0.223854) (xy 0.581588 0.169163) (xy 0.626129 0.123676) (xy 0.659978 0.089863) (xy 0.680811 0.070174) + (xy 0.686494 0.066163) (xy 0.688366 0.079107) (xy 0.692255 0.114865) (xy 0.697942 0.171198) (xy 0.705219 0.245858) + (xy 0.713868 0.336619) (xy 0.723678 0.441237) (xy 0.734433 0.557475) (xy 0.745921 0.683092) (xy 0.755093 0.784382) + (xy 0.766828 0.915721) (xy 0.777664 1.039448) (xy 0.787429 1.15332) (xy 0.795939 1.255089) (xy 0.803005 1.342513) + (xy 0.808451 1.413347) (xy 0.812092 1.465349) (xy 0.813746 1.49627) (xy 0.813558 1.504297) (xy 0.803668 1.497145) + (xy 0.778476 1.474157) (xy 0.740192 1.437562) (xy 0.691011 1.389578) (xy 0.633139 1.332434) (xy 0.568778 1.268352) + (xy 0.500129 1.199562) (xy 0.429395 1.128284) (xy 0.358779 1.056746) (xy 0.29048 0.987168) (xy 0.226703 0.921784) + (xy 0.169648 0.862809) (xy 0.121522 0.812473) (xy 0.084521 0.773) (xy 0.060852 0.746617) (xy 0.052709 0.735596) + (xy -0.129535 0.735596) (xy -0.23041 0.843707) (xy -0.282767 0.899624) (xy -0.341535 0.962052) (xy -0.404385 1.028557) + (xy -0.468994 1.096702) (xy -0.533041 1.16405) (xy -0.594203 1.228172) (xy -0.650153 1.286628) (xy -0.698572 1.336982) + (xy -0.737129 1.376803) (xy -0.763511 1.403648) (xy -0.775384 1.41509) (xy -0.775806 1.415325) (xy -0.899395 1.415328) + (xy -0.9114 1.559276) (xy -1.511938 2.190843) (xy -2.112473 2.822411) (xy -2.112033 2.910685) (xy -2.11159 2.998959) + (xy -2.014581 2.895334) (xy -1.960291 2.837537) (xy -1.896192 2.769632) (xy -1.824016 2.693426) + (xy -1.745492 2.61073) (xy -1.662349 2.52335) (xy -1.57632 2.433087) (xy -1.489129 2.34175) (xy -1.402515 2.251151) + (xy -1.318197 2.163091) (xy -1.237912 2.079385) (xy -1.163389 2.001833) (xy -1.096356 1.932245) + (xy -1.038543 1.872424) (xy -0.991678 1.824184) (xy -0.957496 1.789324) (xy -0.937722 1.769656) + (xy -0.933391 1.765882) (xy -0.933092 1.779007) (xy -0.934733 1.81261) (xy -0.938022 1.862119) (xy -0.942682 1.922961) + (xy -0.94468 1.947268) (xy -0.959575 2.125051) (xy -0.842955 2.125049) (xy -0.836934 2.096758) (xy -0.833864 2.074384) + (xy -0.829546 2.032282) (xy -0.824487 1.975821) (xy -0.81918 1.910366) (xy -0.817344 1.88614) (xy -0.81193 1.816579) + (xy -0.806461 1.751984) (xy -0.80149 1.698451) (xy -0.79756 1.662089) (xy -0.796673 1.655492) (xy -0.793334 1.641944) + (xy -0.786101 1.626084) (xy -0.77344 1.606139) (xy -0.753811 1.580327) (xy -0.725678 1.546871) (xy -0.687502 1.503993) + (xy -0.637747 1.449916) (xy -0.574871 1.382864) (xy -0.49734 1.301058) (xy -0.418251 1.218049) (xy -0.339564 1.135908) + (xy -0.266113 1.059832) (xy -0.199724 0.991677) (xy -0.142227 0.933288) (xy -0.09545 0.886518) (xy -0.061224 0.853218) + (xy -0.041373 0.835234) (xy -0.037141 0.832558) (xy -0.026003 0.842259) (xy 0.000031 0.867559) (xy 0.038429 0.905917) + (xy 0.086672 0.9548) (xy 0.142231 1.011668) (xy 0.182408 1.053094) (xy 0.392169 1.27) (xy -0.226336 1.270001) + (xy -0.226335 1.508912) (xy 0.528119 1.508913) (xy 0.528121 1.40246) (xy 0.666435 1.540346) (xy 0.764552 1.638158) + (xy 0.955643 1.638158) (xy 0.957469 1.62273) (xy 0.966724 1.614131) (xy 0.989049 1.610389) (xy 1.030103 1.609512) + (xy 1.037376 1.609506) (xy 1.119109 1.609505) (xy 1.119111 1.828827) (xy 1.037376 1.747822) (xy 0.99127 1.698573) + (xy 0.963694 1.660841) (xy 0.955643 1.638158) (xy 0.764552 1.638158) (xy 0.804752 1.678232) (xy 0.804753 1.801049) + (xy 0.805137 1.85755) (xy 0.8069 1.893493) (xy 0.810949 1.913472) (xy 0.818198 1.922063) (xy 0.829132 1.92386) + (xy 0.841288 1.9265) (xy 0.850276 1.937088) (xy 0.857174 1.959619) (xy 0.863076 1.99809) (xy 0.869065 2.056502) + (xy 0.870987 2.077896) (xy 0.875147 2.12505) (xy -0.842955 2.125049) (xy -0.959575 2.125051) (xy -1.119107 2.12505) + (xy -1.119109 2.238218) (xy -1.051315 2.23822) (xy -1.011662 2.239304) (xy -0.990116 2.244548) (xy -0.98748 2.247666) + (xy -0.848616 2.247666) (xy -0.841308 2.240538) (xy -0.815993 2.238338) (xy -0.798908 2.238218) + (xy -0.741882 2.23822) (xy -0.529221 2.238218) (xy 0.885302 2.238218) (xy 0.837457 2.287215) (xy 0.763151 2.347677) + (xy 0.671183 2.39431) (xy 0.560004 2.427751) (xy 0.449529 2.446246) (xy 0.377224 2.45488) (xy 0.377227 2.363959) + (xy -0.201187 2.36396) (xy -0.201189 2.467109) (xy -0.286066 2.458504) (xy -0.34537 2.451247) (xy -0.408553 2.441622) + (xy -0.446386 2.434748) (xy -0.521829 2.419593) (xy -0.525528 2.328906) (xy -0.529221 2.238218) + (xy -0.741882 2.23822) (xy -0.741882 2.288514) (xy -0.743543 2.320024) (xy -0.747697 2.337537) (xy -0.749371 2.338812) + (xy -0.767985 2.330746) (xy -0.795183 2.31118) (xy -0.822447 2.287057) (xy -0.841267 2.265318) (xy -0.842943 2.262492) + (xy -0.848616 2.247666) (xy -0.98748 2.247666) (xy -0.979662 2.25692) (xy -0.975445 2.270397) (xy -0.958217 2.305373) + (xy -0.925138 2.347421) (xy -0.881893 2.390644) (xy -0.834174 2.429146) (xy -0.802829 2.449197) + (xy -0.767123 2.471149) (xy -0.74882 2.489588) (xy -0.74239 2.511331) (xy -0.741895 2.524283) (xy -0.741896 2.527426) + (xy -0.100594 2.527427) (xy -0.100592 2.464554) (xy 0.276633 2.464554) (xy 0.276635 2.527426) (xy -0.100594 2.527427) + (xy -0.741896 2.527426) (xy -0.741883 2.565148) (xy -0.636049 2.565148) (xy -0.587355 2.563971) + (xy -0.549408 2.560835) (xy -0.528308 2.556329) (xy -0.526025 2.554506) (xy -0.512641 2.551706) + (xy -0.480075 2.552854) (xy -0.433916 2.557605) (xy -0.402376 2.561997) (xy -0.345189 2.570621) + (xy -0.292885 2.578407) (xy -0.253582 2.584153) (xy -0.242054 2.585787) (xy -0.211937 2.595112) + (xy -0.201189 2.60973) (xy -0.197919 2.615678) (xy -0.18623 2.620222) (xy -0.163287 2.62353) (xy -0.126265 2.625785) + (xy -0.072332 2.627164) (xy 0.00134 2.627849) (xy 0.08802 2.628018) (xy 0.180531 2.627922) (xy 0.250904 2.627471) + (xy 0.302166 2.62641) (xy 0.337322 2.624496) (xy 0.359389 2.62148) (xy 0.371387 2.617115) (xy 0.376326 2.611152) + (xy 0.377226 2.604214) (xy 0.38492 2.582206) (xy 0.410121 2.569678) (xy 0.456009 2.565211) (xy 0.464265 2.565149) + (xy 0.541973 2.557132) (xy 0.630231 2.535062) (xy 0.721085 2.501916) (xy 0.806572 2.460663) (xy 0.878726 2.414268) + (xy 0.888072 2.406918) (xy 0.918535 2.383003) (xy 0.936573 2.373426) (xy 0.949169 2.37652) (xy 0.9621 2.389296) + (xy 1.000292 2.41432) (xy 1.049998 2.423929) (xy 1.103524 2.418933) (xy 1.153177 2.40015) (xy 1.191267 2.368394) + (xy 1.194025 2.364705) (xy 1.222527 2.305426) (xy 1.227827 2.244066) (xy 1.21052 2.185571) (xy 1.171182 2.134896) + (xy 1.166371 2.130711) (xy 1.138439 2.110832) (xy 1.110102 2.102079) (xy 1.070262 2.101448) (xy 1.060311 2.102009) + (xy 1.02133 2.10344) (xy 1.001255 2.10016) (xy 0.993983 2.090271) (xy 0.99324 2.081039) (xy 0.991716 2.054256) + (xy 0.987935 2.013975) (xy 0.985216 1.989875) (xy 0.981278 1.951599) (xy 0.982916 1.932003) (xy 0.99242 1.924841) + (xy 1.009351 1.923861) (xy 1.019392 1.927099) (xy 1.03559 1.93758) (xy 1.059147 1.956454) (xy 1.091256 1.984864) + (xy 1.133128 2.023965) (xy 1.185957 2.074903) (xy 1.250944 2.138826) (xy 1.329291 2.216886) (xy 1.422198 2.310227) + (xy 1.530863 2.420002) (xy 1.583231 2.47305) (xy 2.125049 3.022233) (xy 2.12443 2.93515) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a802348a-fb3a-4c81-b17e-c884c4a56ced") + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "92e0af3e-004d-4090-8e56-eb1615052fe8") + (at 164.95 111.275 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D11" + (at -4.025 0 -90) + (layer "B.SilkS") + (uuid "efd2fba9-9b7c-424a-82fa-a413f99c3d35") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "d5a25573-6ef0-443e-88c1-0f3a01783da6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d0cbb931-2158-4657-bfbf-f3fff5cd3487") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e5b1a9c0-b842-44c1-8b5d-b47b9b6d9945") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9a161a3a-e10b-4bb0-bd79-1d8bac627ea4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "65f588a2-235b-4c16-8a63-134afaea24e8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "26303a4f-f3f2-4a3c-b51f-6fc5f7bd41de") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/9ffed6dd-5815-4781-aaff-e1f4f37684af") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "0824bfeb-6517-4f8d-b7d4-686100f92ab3") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7bb0d451-db93-4709-9cdf-ef14429c967e") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "12a7598c-c8f7-440d-b4c0-102253d909c5") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "9d3323e6-a34e-4fdf-be7a-358240be6f08") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "c7b29a67-43a2-4372-b990-6ad2a54ec1d8") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "43e58018-83fb-4548-9107-296834557ec8") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "d0408308-52d0-4c1a-a935-a1e3c1e534f2") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "05e30536-1a14-493b-950f-e6e836ce9898") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "9e7c76cf-2144-404c-8c6c-2223fb658c2a") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e0e2e368-736e-4065-9f41-3e9667a51242") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f9a45bfa-193a-48b6-ae12-7879c9842ebd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d6bf21c6-dd62-4b44-8927-11a7dcd56224") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "746a7635-ce9c-4829-ae25-378ab013f02a") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "be73cfc0-5d3a-4cb1-87d0-2602ce5ac65e") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a3d9dcfd-c507-4215-b71b-8bcd79ff0d33") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "22c77a94-5ae8-4732-84ea-58de9adf27e7") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "efc8aa22-19b7-444c-8a0b-b92785e61d45") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6b7f7076-5082-4e04-bade-5d5e2fd9b779") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "536932b0-aa59-4f36-ab25-77b13c3e7069") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "530e8764-896c-4ff9-93cb-68dbfae576fb") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 15 "Net-(D11-A)") + (pinfunction "A") + (pintype "passive") + (uuid "608e3727-6a8a-4202-b5d3-35105ab28ecb") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "b08b4f65-1937-4786-a3be-3c3d352f0d9b") + (at 91.9 79.375 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D10" + (at -4.075 -0.05 -90) + (layer "B.SilkS") + (uuid "08a3e268-c573-4fcf-9d2f-a94e56383619") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "62fd2e8c-3ccb-4f7c-ae27-964b91b26f61") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ebe0a0e7-456f-4b2a-bd5d-1338ed203282") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c99bac98-1ced-40e1-aa4f-b37b633d501c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a79ef179-b35c-470f-9a1d-048c17f15cbc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "a3983b62-4f15-4f1f-a53a-a962228c7fe1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "fb35264e-b177-4a97-b079-922f4e7fe9f6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/79fdb828-1c03-429a-9652-1abe70ac8a96") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "b929151d-144f-4fdf-baef-c1b9f8e63807") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "e715c9a4-f34d-4c5f-86f7-f0a0ef11ff86") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "bad0b07a-7ef4-4f85-8b3d-344cfc416de8") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "91ed613f-e3b9-42ab-a724-6721c8fd64f4") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "b00145a5-c898-4e2d-8c85-391ff2470394") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "ae30046e-ba53-4e10-a4b6-b20140c9b040") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "8a5c5cbc-7e2c-4661-8ed2-f766cabd6357") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "25668c14-5594-47d3-941b-1116e3031e15") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "413bbfcb-9b39-40cd-b9ae-778c084108fa") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dfd4ab3d-bb39-4517-8f61-be440f256ab5") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f2bc79b1-6359-4170-9066-19b39ab51932") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "412fbd49-d74e-4d0f-b80f-64274b47b99d") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "72ad5a6f-7009-453a-afc0-96ed9676cdd2") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "aa60668e-8f14-435f-ab11-d8df0cd7a768") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b79e2933-ba1b-4e36-9492-f4609b31a903") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "da4e7a84-de84-4f64-9ad8-cce70520e32e") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "09875465-00cf-4105-ac61-60494acbd563") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "40d3d14a-3f65-42d2-af65-56fba42db459") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "83bb3f5c-534c-4f5e-a202-ced2ce096139") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "8207f1f7-5a33-4f25-84bb-ae4795cbe844") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 14 "Net-(D10-A)") + (pinfunction "A") + (pintype "passive") + (uuid "23a869e1-7264-4a23-8d2c-d78e0d15dde1") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "c2ff16e0-8e88-4e1f-87bf-799a059c38f7") + (at 146.65 67.275 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D2" + (at -3.65 -0.05 -90) + (layer "B.SilkS") + (uuid "1275cf43-36dc-496b-9c59-08898075b7fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "74f88259-2440-429c-ab97-ba2d2b17df9c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "50e54759-5610-4092-b20d-555a8883e999") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bc5d41f8-8440-4097-9e13-6c0a2aa273a8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e3a31d15-84ce-4af9-98d1-8011a9600d43") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "aae75239-3819-422e-9ef3-1b410269776a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "40ca8583-27b9-403e-be0f-750db76c080e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/0192b181-1293-4502-ac4a-a83c6686ebad") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d006f044-8c99-48a0-8a63-6bf9305e0f5a") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "2d8c61b8-8311-4b1a-96dc-b7d197897ba1") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "c55b88b0-ebb4-40d2-b10b-f5cd2cb7f80d") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "765feeb9-1ce2-475e-9517-13e141a46663") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5b533f33-5ff3-4250-8cfe-030e32e732f0") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "285df83d-db42-4424-af4a-ca6b715aa988") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "d593caf3-2fd3-4bf4-9cf5-0fda0b748fde") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "01ac80e5-6831-4b49-9211-c84dbbaa1e2e") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "07be8724-a1b2-4a77-8acb-322134c42835") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a44790c2-7f3d-4513-92ea-4ed03a6c54da") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0d04fde9-bf91-4316-a660-0afbdb649a37") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "cfb404f0-4405-4dcd-9fad-2b264eb2b3f3") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d27a2fae-be2a-4eb6-a40d-4bb9927ffbcf") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6207ac9e-0716-43c6-8b7b-4027900013d4") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "36a7ea22-3948-4e5f-b1fa-ffe2b9e6e6c4") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d3732153-b495-4cea-816d-c734ff3a2325") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0482d756-fce3-4aee-9055-3e0ca7a57186") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "4c2a78a8-5d3e-42b4-bb92-9e32297620f3") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "d4000f25-0af5-439d-b8e0-3ca31f42f489") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "b2868aa3-078c-4e32-89aa-b3a415b8c548") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 4 "Net-(D2-A)") + (pinfunction "A") + (pintype "passive") + (uuid "44bbd81a-2dfe-4fb1-b619-e9938729aa70") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "c99de5d8-198c-4fc1-b9d0-d6e4b30b9c02") + (at 91.825 97.725 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D15" + (at -4 0 -90) + (layer "B.SilkS") + (uuid "3f398ca1-1271-4ea5-9246-284f5d2ebd84") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "fed4520e-5267-49f3-9f8b-6832ff484690") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "238a0c69-f8c1-438a-9afe-3c6f717e3e96") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "57316d9d-c063-469d-801b-9b4e94b91836") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b41d2185-2e8a-4e9c-83f6-f084ae24e0bb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "5abde321-db3e-4b51-91a3-f5af4255b8f3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "3abb9f4d-a3c7-4764-b994-c4f9bdf6a262") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/690dd7c7-e6d7-4fd9-942b-f70fd60a7993") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "f9286bba-a963-4cc8-a8f3-05ff440aee05") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7d9f2a3d-02cb-4286-bbea-783593e3b896") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "8d4402b7-5940-49ad-a188-31d0a6587550") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5c91d13a-b152-4971-aedf-ef5090646c55") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "492c27b1-deb6-4fdb-abc5-c76164aae214") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "fb3d6564-3971-40d4-80fa-fbffc467cbd4") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "e82a83f0-4f64-43ec-9fcc-044d4b7f70b8") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "893e14db-e008-4400-aa26-aeba48b657e8") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6340827a-262b-4ab4-991c-c1639f05fff1") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "74eb317f-d18c-4a9d-a56e-c9ee5718eccc") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "100b4bf1-b3bd-492c-a674-213ca1d66bb7") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d14eb19f-eeb3-4885-8a87-0fe3d9ffe1a7") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0b25b4a5-e88e-45c6-b0cc-e048285111fd") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fed2308e-c79b-4a10-bce2-db76b9b3fd48") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7a257395-8511-4d90-9b48-8b900c62a082") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7571dbe6-6b34-46d5-8605-b4e5e6baa788") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "82d73144-bb22-49ff-8283-111fe6f04208") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6a600384-dc8b-42ce-94c7-2acf7078afa4") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "2f0be59f-0cb9-4154-9a7f-15ef180bb2c9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 24 "ROW2") + (pinfunction "K") + (pintype "passive") + (uuid "6c463de1-3aea-4bd0-9031-eb5400c65f58") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 19 "Net-(D15-A)") + (pinfunction "A") + (pintype "passive") + (uuid "32b0b883-ec54-4652-80ee-77454cda179e") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_FFC-FPC:TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal" + (layer "B.Cu") + (uuid "cac9d8f1-f468-4ea3-981f-ea8db5e62e2d") + (at 63.51 63.735001 -90) + (descr "TE FPC connector, 12 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4") + (tags "te fpc 84952") + (property "Reference" "J2" + (at 9.514999 -6.04 0) + (layer "B.SilkS") + (uuid "f8d3a41c-a674-41ab-baf9-b80313825a23") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "TE_1-84952-2" + (at 0.000001 -7.700002 90) + (layer "B.Fab") + (uuid "9a8561d8-d288-4566-8e2f-5479411f333d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Connector_FFC-FPC:TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5f7e4679-b10c-4acd-a953-b591f497c76e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "28ba3d7f-29ee-43a6-a911-586c9061ad43") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1304f66f-1153-455a-9f87-dcc36b4aca24") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/2a78d700-42bf-4156-a34d-65b95ba429a4") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -6.064999 0.910001) + (end -6.065001 2.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "09bbe1bf-ff0c-4f00-a03e-e176344d5008") + ) + (fp_line + (start -6.89 0.91) + (end -6.064999 0.910001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "21814b29-8b9e-4862-a30f-f67da80a9e69") + ) + (fp_line + (start 6.065001 0.909998) + (end 6.89 0.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "bca0d25b-6383-4c92-baaf-17c5b4e67645") + ) + (fp_line + (start 9.045 -3.06) + (end 9.045 -3.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "70a41a69-fbeb-4005-90ac-9bc86294c939") + ) + (fp_line + (start -9.045 -3.599999) + (end -9.045001 -3.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "32ee9fa6-0b66-4d8a-bf1e-5771e127bf8a") + ) + (fp_line + (start 10.07 -3.599999) + (end 10.069999 -4.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "97533f49-011e-442b-b6de-0114b0224249") + ) + (fp_line + (start -10.069999 -3.6) + (end -9.045 -3.599999) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "f936a00f-3726-4819-8f0b-9e4ef609fd99") + ) + (fp_line + (start 9.045 -3.6) + (end 10.07 -3.599999) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7debfdba-c777-4d12-aec6-edbf08af3b36") + ) + (fp_line + (start -10.069999 -4.710001) + (end -10.069999 -3.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "e6a79d58-c540-40a2-9b0e-2e3557f07155") + ) + (fp_line + (start 10.069999 -4.710001) + (end -10.069999 -4.710001) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "37fcb366-c5af-48bc-ada8-4395c41c362a") + ) + (fp_line + (start 10.459999 3.300002) + (end -10.460002 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cfd293c9-739d-4ff9-acb9-c88f0fc0ac19") + ) + (fp_line + (start -10.460002 3.3) + (end -10.46 -7.000002) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "b8d544bf-50f3-41d4-9f2b-769a7dd4a587") + ) + (fp_line + (start 10.460001 -6.999999) + (end 10.459999 3.300002) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5b38d7af-5727-4dd6-bf27-7ce8c0fe6748") + ) + (fp_line + (start -10.46 -7.000002) + (end 10.460001 -6.999999) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "57f0ea52-fde6-4aae-897b-ae84118d160f") + ) + (fp_line + (start -8.935001 0.8) + (end 8.935 0.799999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d31c84cb-6dec-434c-9b9e-bd1f6facde0e") + ) + (fp_line + (start -5.999999 0.799999) + (end -5.5 -0.2) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7d84221a-5e67-4d7c-a6d7-a1b4657b7748") + ) + (fp_line + (start 8.935 0.799999) + (end 8.935 -3.71) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6424b8b8-e7b2-452f-9824-3643ba84be66") + ) + (fp_line + (start -5.5 -0.2) + (end -4.999999 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "0f4dd1f5-0361-4a9a-82bb-e3bfd4f1f298") + ) + (fp_line + (start -9.96 -3.709999) + (end -8.935001 -3.709999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "574d6d55-fd31-4f95-a914-0c81324b58ba") + ) + (fp_line + (start -8.935001 -3.709999) + (end -8.935001 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "27ab0e6e-3192-4d7b-8d00-062a608fabc5") + ) + (fp_line + (start 8.935 -3.71) + (end 9.96 -3.71) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ad7b3be8-b33f-4707-ad6f-66057d5c890c") + ) + (fp_line + (start 9.96 -3.71) + (end 9.96 -4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "c48318e3-9fbc-42da-b701-0a5ef95d9ae1") + ) + (fp_line + (start -9.96 -4.6) + (end -9.96 -3.709999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "efc3729d-7617-46df-acaa-aa81906c735e") + ) + (fp_line + (start 8.935 -4.6) + (end 8.935001 -5.610001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "16a1b909-cbd8-4822-88ce-db47b5c8d123") + ) + (fp_line + (start 9.96 -4.6) + (end -9.96 -4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e3fc053e-5441-4155-9e33-542328d4ea42") + ) + (fp_line + (start -8.935 -5.61) + (end -8.935 -4.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e408391d-ab6b-440e-a74b-e7d2edde2f6b") + ) + (fp_line + (start -9.96 -5.610001) + (end -8.935 -5.61) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "82f1ce8f-32c1-41d0-8648-0d20d409af27") + ) + (fp_line + (start 8.935001 -5.610001) + (end 9.96 -5.610001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "691baab4-e2e7-4925-b3af-448d25c8f384") + ) + (fp_line + (start 9.96 -5.610001) + (end 9.959999 -6.499999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "9264e5a1-4174-40f5-98d1-ad25df543dfa") + ) + (fp_line + (start -9.960001 -6.499999) + (end -9.96 -5.610001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8391ac0e-835f-4bcd-96a7-a2dfc2b7ec50") + ) + (fp_line + (start 9.959999 -6.499999) + (end -9.960001 -6.499999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "683825fc-2f6f-446a-a0db-8e2b6bdd0f2c") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.9 90) + (layer "B.Fab") + (uuid "f3e34e10-cafc-4fc1-8b05-8bdc2764f9a7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd rect + (at -5.5 1.800001 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 43 "TPVCC") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "11c79591-1035-4a52-b656-4333953a614f") + ) + (pad "2" smd rect + (at -4.500001 1.800002 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 42 "TPDATA") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "550564a9-82c5-4eb8-92d3-f71ea8c7259a") + ) + (pad "3" smd rect + (at -3.5 1.799999 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 41 "TPRST") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "8c53a184-456b-4d12-9bd1-c8b33e12bf2f") + ) + (pad "4" smd rect + (at -2.5 1.800001 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 31 "unconnected-(J2-Pin_4-Pad4)") + (pinfunction "Pin_4") + (pintype "passive+no_connect") + (uuid "4a9ffb39-2683-4cee-93ad-703ae6b5d9bc") + ) + (pad "5" smd rect + (at -1.500002 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 32 "TPCLK") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "cd84e32b-5626-454b-b149-f947804f7206") + ) + (pad "6" smd rect + (at -0.5 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 39 "TPGND") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "cec407de-c19d-4409-98b4-44066f8c0bbb") + ) + (pad "7" smd rect + (at 0.5 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 39 "TPGND") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "9d43fe7c-69f8-44df-b2df-43d6f106fbf4") + ) + (pad "8" smd rect + (at 1.5 1.799999 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 32 "TPCLK") + (pinfunction "Pin_8") + (pintype "passive") + (uuid "2e9d87e2-32ae-4e25-b74c-6451157ef27f") + ) + (pad "9" smd rect + (at 2.5 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 40 "unconnected-(J2-Pin_9-Pad9)") + (pinfunction "Pin_9") + (pintype "passive+no_connect") + (uuid "6a29790f-43af-4a75-ab18-c0f7bb684181") + ) + (pad "10" smd rect + (at 3.499999 1.800001 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 41 "TPRST") + (pinfunction "Pin_10") + (pintype "passive") + (uuid "d499e413-2ee6-4fe2-938a-a285baf6b008") + ) + (pad "11" smd rect + (at 4.499999 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 42 "TPDATA") + (pinfunction "Pin_11") + (pintype "passive") + (uuid "ec6eaefe-8e95-4582-b591-649cfe0a7413") + ) + (pad "12" smd rect + (at 5.500001 1.8 270) + (size 0.61 2) + (layers "B.Cu" "B.Paste" "B.Mask") + (net 43 "TPVCC") + (pinfunction "Pin_12") + (pintype "passive") + (uuid "ec828abe-e7ef-455a-9641-f3f8859b8b0c") + ) + (pad "MP" smd rect + (at -8.490003 -1.000001 270) + (size 2.68 3.6) + (layers "B.Cu" "B.Paste" "B.Mask") + (uuid "98286a18-8f2c-4492-aa73-0d43889a9200") + ) + (pad "MP" smd rect + (at 8.49 -1 270) + (size 2.68 3.6) + (layers "B.Cu" "B.Paste" "B.Mask") + (uuid "c450d775-2cb8-4712-bed2-d4334e99308a") + ) + (model "${KICAD6_3DMODEL_DIR}/Connector_FFC-FPC.3dshapes/TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "cf0167f7-5f8f-4797-9b0d-a7bbc445b37f") + (at 164.75 93.675 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D6" + (at -3.675 0.025 -90) + (layer "B.SilkS") + (uuid "ec093ae6-dccc-4cba-9bc1-ef58653283ff") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "6599bf1e-ee73-4f01-8fe4-63f272a18ff9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "850ea50b-d564-4916-ac6e-402711a1007c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "141e07ac-d099-4596-9b93-7832f22065c4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d301571a-ef56-4080-9937-8bae37ccf25f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "ff25b99d-02bc-4b1a-9ba8-d4ec9923015e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "3bd39ff9-1cdb-4e69-b6e4-d86c338d4fc3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/2f52df6d-8174-4053-8266-16d21df8d266") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "ee24d2dc-442b-4165-8d6f-880130cca34c") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "175b08be-8685-419a-97bd-2b2a7cf187ba") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "f1e06b86-115d-45fc-8b74-077de8e62f31") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "59b75d87-1c57-412c-95cc-7471945c5aa9") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "5853e1ef-baf4-4110-91da-a10fcfdb5268") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "8124b105-a268-4691-aa61-e1de348fcc1f") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "3c8ef26e-c771-4669-8d96-ebdc574d4903") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "eba8e367-ed0c-44be-ad82-afd1c43ce32a") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "5cedd257-998e-4683-93b7-cea85f708257") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f191687c-ab9e-494b-9cde-fb41435b934e") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "838bb631-8a5e-4f7a-9cae-ede28b1bcda4") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b5a8b1ec-5a46-44f8-b3d8-03969b9afcbc") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d3700ea6-bdbe-4c35-8eef-ccf84b6492bc") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a4425d88-02b4-4e13-83b0-cad6e28d2913") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "59d8a620-3c62-4556-a16f-298dca33d9fa") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "71356d1f-ad58-4a26-9f34-54235f9e6d2c") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "335f5bb6-94fa-448f-93be-f5634a1df364") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "45a76b20-1615-4438-8915-9201b0511d86") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "92c51543-2b62-4f05-a141-8cbd042bfd46") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 23 "ROW1") + (pinfunction "K") + (pintype "passive") + (uuid "85408692-609e-44a0-a66d-1b198ac41c50") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 10 "Net-(D6-A)") + (pinfunction "A") + (pintype "passive") + (uuid "540ba43b-dd60-46a4-b3e7-f8e75c991177") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "d2f427ce-0dab-4987-b531-59c1aac827da") + (at 164.675 75.55 90) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D1" + (at -3.75 0 -90) + (layer "B.SilkS") + (uuid "20adfede-e450-423d-89e9-f694b9801db9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 -90) + (layer "B.Fab") + (uuid "5b5f3d09-911e-48c8-9fff-5ddb2871337d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "77bb3d83-9783-480a-8df5-c96400e3742f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "16cac182-479d-4427-9395-10ac57e341ba") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1f60abb2-e8af-4e6c-ac16-5534d40bdf63") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "ca55ea18-bff4-440b-9614-89d05dc16fc8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -90) + (layer "B.Fab") + (hide yes) + (uuid "777b2b7c-145f-45cc-a2aa-dd14266a0f24") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/272bc288-d2af-4200-aa0c-e546decfed4a") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "798091c6-f999-486b-a69e-50b015b1ec30") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "7a3b4d28-5f8d-42ca-b7a4-4da107760ca0") + ) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "bf5f93b0-2f33-4c40-8ae3-68feb04fdb83") + ) + (fp_line + (start 2.35 -1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "536d4803-e07d-4905-bf14-080ee5652b78") + ) + (fp_line + (start 2.35 1.15) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "0f4e4f3e-49cb-4fcb-94b3-06b02f8f705f") + ) + (fp_line + (start -2.35 1.15) + (end -2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "bbaf4f2b-04ce-420f-bf27-8a7515e6bf61") + ) + (fp_line + (start -2.35 1.15) + (end 2.35 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "b6a8890f-11f4-433b-94a0-bca622b71257") + ) + (fp_line + (start 1.4 -0.900001) + (end -1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "06985b5a-ff16-4d6e-88be-97d6c71d3fe4") + ) + (fp_line + (start -1.4 -0.900001) + (end -1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a8e103b6-d3ba-491c-8efc-0e6354c5cf0c") + ) + (fp_line + (start 0.25 -0.4) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "8cd7c007-85b6-4bbd-835f-509c4032bfa2") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d6be91f9-7650-4a39-8d49-39110a274882") + ) + (fp_line + (start -0.349999 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "f5a78d46-e91e-4e24-8847-2a63ad763428") + ) + (fp_line + (start -0.349999 0) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "ad6cce9b-e6ac-46f4-ba1f-d0523ed07579") + ) + (fp_line + (start -0.349999 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "13e6c9c6-e72d-4141-bd31-1511000a5e4e") + ) + (fp_line + (start -0.75 0) + (end -0.349999 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "7561512f-7c58-46a5-b9c8-3ee6397a51b3") + ) + (fp_line + (start 0.25 0.4) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a3a96391-9416-4288-b034-280674f5581b") + ) + (fp_line + (start 1.4 0.900001) + (end 1.4 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "34e2e16c-6c96-4f51-b9dd-51670b711eac") + ) + (fp_line + (start -1.4 0.900001) + (end 1.4 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "4add8a03-3b43-4f63-bfa3-4f255e3b936b") + ) + (fp_text user "${REFERENCE}" + (at 0 2.000001 -90) + (layer "B.Fab") + (uuid "a7a921db-77b5-48de-9b9f-314ef3f64c49") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 22 "ROW0") + (pinfunction "K") + (pintype "passive") + (uuid "13f1613d-a664-43b1-95d5-4b9bcf130045") + ) + (pad "2" smd roundrect + (at 1.65 0 90) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 2 "Net-(D1-A)") + (pinfunction "A") + (pintype "passive") + (uuid "e04081d0-ccd2-4df3-9437-e998c4b5d7bb") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123" + (layer "B.Cu") + (uuid "d6118226-bf60-43c5-9fcd-c6465c31d761") + (at 83.087408 122.529789 180) + (descr "SOD-123") + (tags "SOD-123") + (property "Reference" "D16" + (at -4.062592 -0.078523 180) + (layer "B.SilkS") + (uuid "5713e901-04d0-4e78-bf39-9dfc8ff6abef") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "1N4148" + (at 0 -2.1 180) + (layer "B.Fab") + (uuid "6d847e8d-a171-4ca7-92de-4797cb5204fd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "45b70f42-b582-4d46-b9c6-ffb89b3a84fa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "abe4ce73-7a96-416c-bab7-9caaf3c9040f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cfe13df7-92d0-4774-8174-df82a0b1cc81") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 -15) + (layer "B.Fab") + (hide yes) + (uuid "3f5a0abf-37d5-42c0-a0e0-5004bb210646") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 -15) + (layer "B.Fab") + (hide yes) + (uuid "d8dcea06-b127-4804-a0dd-7d3bcad33036") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/09393409-d237-4b1f-9d81-aeb31f7615de") + (sheetname "Root") + (sheetfile "filli.kicad_sch") + (attr smd) + (fp_line + (start -2.36 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "a56215b2-38bc-4a9d-8a38-603584bf17d1") + ) + (fp_line + (start -2.36 1) + (end -2.36 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "309f30d8-688b-4a03-ba10-469788a10dd0") + ) + (fp_line + (start -2.36 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "0ca8af71-9dc4-440f-8c8f-30d5a3b42a73") + ) + (fp_line + (start 2.350002 1.150002) + (end 2.35 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "d0b2d895-91a3-4543-8013-20100de38633") + ) + (fp_line + (start 2.35 -1.15) + (end -2.350002 -1.150002) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "c27a8d23-44c2-479f-b514-ec5afaca2ce0") + ) + (fp_line + (start -2.35 1.15) + (end 2.350002 1.150002) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "0aad5f1b-afe9-4d31-9994-d659ba74df85") + ) + (fp_line + (start -2.35 1.15) + (end -2.350002 -1.150002) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "002033c6-8a48-46f5-8396-0e705e98f18c") + ) + (fp_line + (start 1.400002 -0.900001) + (end -1.400001 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "e8a62d6c-c280-46f1-8c84-155ec8bf65ab") + ) + (fp_line + (start 1.400001 0.9) + (end 1.400002 -0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "a028daae-0ea6-423b-9d6f-c96b92b947cd") + ) + (fp_line + (start 0.25 0.399999) + (end 0.249999 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6d6d51a9-3681-49c4-ba2a-261e6d9d0f0f") + ) + (fp_line + (start 0.249999 0.000001) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "74773618-3d96-4890-83bc-6db3b520a6b7") + ) + (fp_line + (start 0.249999 -0.4) + (end -0.349998 0.000001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fc99bfde-03d7-46ff-bcd6-107574e430fc") + ) + (fp_line + (start -0.349998 0.000001) + (end 0.25 0.399999) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "39fdd48b-a8ff-4970-b232-2d21786a2323") + ) + (fp_line + (start -0.349998 0.000001) + (end -0.35 -0.550003) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "db9ca05a-8d06-484f-9e7d-d9c80b68e7f6") + ) + (fp_line + (start -0.349998 0.000001) + (end -0.350001 0.550001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "fbd9593d-a126-4132-863f-a647899fd92e") + ) + (fp_line + (start -0.75 0) + (end -0.349998 0.000001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "3697dff4-7f1b-4ee5-8859-df49894718e8") + ) + (fp_line + (start -1.400001 -0.9) + (end -1.400002 0.900001) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "42d03491-0033-4107-b21b-c327ce1ef22e") + ) + (fp_line + (start -1.400002 0.900001) + (end 1.400001 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "2fe16a64-7869-42d7-8011-db67ff1e0799") + ) + (fp_text user "${REFERENCE}" + (at 0 2 180) + (layer "B.Fab") + (uuid "745229f1-a9ca-4f21-9cb0-2fae87de76c4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" smd roundrect + (at -1.649999 -0.000001 180) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 25 "ROW3") + (pinfunction "K") + (pintype "passive") + (uuid "1c35d92c-52ca-4aec-a428-e6bba26b7695") + ) + (pad "2" smd roundrect + (at 1.649999 0.000001 180) + (size 0.9 1.2) + (layers "B.Cu" "B.Paste" "B.Mask") + (roundrect_rratio 0.25) + (net 20 "Net-(D16-A)") + (pinfunction "A") + (pintype "passive") + (uuid "4be9574e-56d6-4ab5-867d-6868117b2747") + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (layer "B.Cu") + (uuid "f4d27d2b-86c6-408a-97e4-989f52239e51") + (at 100.85 46.125) + (descr "Open Source Hardware Logo") + (tags "Logo OSHW") + (property "Reference" "REF**" + (at 0 0 0) + (layer "B.SilkS") + (hide yes) + (uuid "81e8cba6-96d9-4225-acb3-acaefb760e5a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "OSHW-Logo_5.7x6mm_SilkScreen" + (at 0.75 0 0) + (layer "B.Fab") + (hide yes) + (uuid "90098022-623c-4b08-92fc-327a75d89334") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "5de49f99-78ef-4d17-ad9a-6172ca43e260") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "f7da6a2a-7536-41da-9907-db3699b6865f") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 180) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "13d38b8b-3fd4-4eb6-b525-6ae05e385fb4") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.79946 -1.45803) (xy 1.842711 -1.471245) (xy 1.870558 -1.487941) (xy 1.879631 -1.501147) (xy 1.877132 -1.516797) + (xy 1.860931 -1.541385) (xy 1.847231 -1.5588) (xy 1.81899 -1.590283) (xy 1.797775 -1.603529) (xy 1.779688 -1.602663) + (xy 1.726035 -1.58901) (xy 1.686632 -1.589632) (xy 1.654632 -1.605104) (xy 1.64389 -1.614162) (xy 1.609505 -1.646029) + (xy 1.609506 -2.062181) (xy 1.471188 -2.062176) (xy 1.471187 -1.458612) (xy 1.540346 -1.458613) + (xy 1.58187 -1.460256) (xy 1.603292 -1.466088) (xy 1.609502 -1.477461) (xy 1.609505 -1.477798) (xy 1.612439 -1.489714) + (xy 1.625702 -1.488156) (xy 1.644084 -1.479563) (xy 1.682046 -1.463568) (xy 1.712871 -1.453945) + (xy 1.752536 -1.45148) (xy 1.79946 -1.45803) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "98f9ac40-5958-4446-b193-51ab3de32ee5") + ) + (fp_poly + (pts + (xy 1.635257 -2.401484) (xy 1.683596 -2.411016) (xy 1.711114 -2.425125) (xy 1.740064 -2.448568) + (xy 1.698875 -2.50057) (xy 1.673482 -2.532064) (xy 1.656236 -2.547429) (xy 1.639101 -2.549776) (xy 1.614028 -2.542216) + (xy 1.602256 -2.53794) (xy 1.554269 -2.53163) (xy 1.510324 -2.545157) (xy 1.478061 -2.57571) (xy 1.472819 -2.585451) + (xy 1.46711 -2.611258) (xy 1.462706 -2.658817) (xy 1.459811 -2.724758) (xy 1.458631 -2.80571) (xy 1.458614 -2.817226) + (xy 1.458614 -3.017822) (xy 1.320298 -3.017822) (xy 1.320296 -2.401684) (xy 1.389456 -2.401683) + (xy 1.429332 -2.402725) (xy 1.450108 -2.407356) (xy 1.457791 -2.417849) (xy 1.458612 -2.427745) + (xy 1.458614 -2.453808) (xy 1.491742 -2.427745) (xy 1.529735 -2.409965) (xy 1.580768 -2.401173) + (xy 1.635257 -2.401484) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "bc593fad-8313-4fb0-b53e-e84957e77367") + ) + (fp_poly + (pts + (xy -0.993356 -2.40302) (xy -0.974537 -2.408662) (xy -0.968475 -2.421055) (xy -0.968218 -2.426647) + (xy -0.967128 -2.442232) (xy -0.959632 -2.444676) (xy -0.939379 -2.433993) (xy -0.927351 -2.426692) + (xy -0.889397 -2.411062) (xy -0.844075 -2.403333) (xy -0.796542 -2.40274) (xy -0.751995 -2.408513) + (xy -0.715603 -2.419884) (xy -0.692543 -2.436088) (xy -0.687996 -2.456355) (xy -0.690291 -2.461843) + (xy -0.70702 -2.484626) (xy -0.732962 -2.512649) (xy -0.737653 -2.517176) (xy -0.762383 -2.538003) + (xy -0.783718 -2.544733) (xy -0.813554 -2.540037) (xy -0.825509 -2.536916) (xy -0.862704 -2.529423) + (xy -0.888861 -2.532793) (xy -0.910946 -2.544682) (xy -0.931177 -2.560633) (xy -0.946077 -2.580699) + (xy -0.956433 -2.608702) (xy -0.96303 -2.648465) (xy -0.966649 -2.703823) (xy -0.96808 -2.778595) + (xy -0.968218 -2.82374) (xy -0.968218 -3.017822) (xy -1.09396 -3.017822) (xy -1.09396 -2.401683) + (xy -1.03109 -2.401684) (xy -0.993356 -2.40302) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f9d15c59-2b0a-4b06-bab6-f7e97e3219d1") + ) + (fp_poly + (pts + (xy 0.993367 -1.654344) (xy 0.994556 -1.746562) (xy 0.998896 -1.816611) (xy 1.00756 -1.86738) (xy 1.021704 -1.901774) + (xy 1.042498 -1.922679) (xy 1.071112 -1.933) (xy 1.106535 -1.935635) (xy 1.143636 -1.932682) (xy 1.17182 -1.921889) + (xy 1.192243 -1.900359) (xy 1.206077 -1.865198) (xy 1.214491 -1.813512) (xy 1.218643 -1.742394) + (xy 1.219704 -1.654344) (xy 1.219703 -1.458614) (xy 1.358019 -1.458614) (xy 1.358017 -2.062178) + (xy 1.288862 -2.062178) (xy 1.24717 -2.060489) (xy 1.225701 -2.054556) (xy 1.219703 -2.043293) (xy 1.21609 -2.033263) + (xy 1.201714 -2.035381) (xy 1.172736 -2.04958) (xy 1.106318 -2.071478) (xy 1.035876 -2.069927) (xy 0.968379 -2.046147) + (xy 0.936235 -2.027363) (xy 0.911715 -2.007022) (xy 0.893805 -1.981572) (xy 0.881479 -1.947459) + (xy 0.873722 -1.90112) (xy 0.869516 -1.839007) (xy 0.867839 -1.75756) (xy 0.867624 -1.694578) (xy 0.867623 -1.458615) + (xy 0.993367 -1.458612) (xy 0.993367 -1.654344) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "72684709-0227-4d59-8510-82b95d61a65e") + ) + (fp_poly + (pts + (xy -0.754012 -1.469) (xy -0.722719 -1.48395) (xy -0.69241 -1.50554) (xy -0.669316 -1.53039) (xy -0.6525 -1.562087) + (xy -0.641006 -1.604214) (xy -0.633891 -1.660358) (xy -0.630207 -1.734105) (xy -0.629007 -1.829044) + (xy -0.628989 -1.838985) (xy -0.628712 -2.062178) (xy -0.767032 -2.062178) (xy -0.76703 -1.856416) + (xy -0.767128 -1.780189) (xy -0.767811 -1.724939) (xy -0.769652 -1.686502) (xy -0.773231 -1.660704) + (xy -0.779131 -1.643384) (xy -0.787926 -1.630368) (xy -0.80018 -1.617505) (xy -0.843047 -1.589873) + (xy -0.889841 -1.584747) (xy -0.934424 -1.602217) (xy -0.949928 -1.615221) (xy -0.961308 -1.627446) + (xy -0.969479 -1.640539) (xy -0.974977 -1.658616) (xy -0.97832 -1.685787) (xy -0.980053 -1.726168) + (xy -0.980695 -1.783878) (xy -0.980792 -1.85413) (xy -0.980793 -2.062179) (xy -1.119109 -2.062179) + (xy -1.119109 -1.458612) (xy -1.049949 -1.458612) (xy -1.00843 -1.460256) (xy -0.987007 -1.466089) + (xy -0.980796 -1.477463) (xy -0.980791 -1.477798) (xy -0.97791 -1.488938) (xy -0.965197 -1.487675) + (xy -0.939928 -1.475435) (xy -0.882605 -1.457424) (xy -0.817036 -1.455421) (xy -0.754012 -1.469) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "60a56b6d-9f79-48a6-bd5a-a44e02c35187") + ) + (fp_poly + (pts + (xy 2.217226 -1.46388) (xy 2.29008 -1.49483) (xy 2.313027 -1.509895) (xy 2.342354 -1.533047) (xy 2.360762 -1.551253) + (xy 2.363962 -1.55718) (xy 2.354935 -1.570338) (xy 2.331837 -1.592669) (xy 2.313345 -1.608249) (xy 2.262727 -1.648925) + (xy 2.222759 -1.615295) (xy 2.191876 -1.593583) (xy 2.161759 -1.586088) (xy 2.127291 -1.587918) + (xy 2.072562 -1.601529) (xy 2.034886 -1.629772) (xy 2.011991 -1.675433) (xy 2.001595 -1.741287) + (xy 2.001593 -1.74133) (xy 2.002494 -1.814937) (xy 2.016463 -1.868946) (xy 2.044327 -1.905716) (xy 2.063324 -1.918168) + (xy 2.113777 -1.933672) (xy 2.167663 -1.933683) (xy 2.214546 -1.918639) (xy 2.225645 -1.911289) + (xy 2.253475 -1.892511) (xy 2.275236 -1.889434) (xy 2.298703 -1.903407) (xy 2.324649 -1.92851) (xy 2.365717 -1.970879) + (xy 2.320122 -2.008465) (xy 2.249674 -2.05088) (xy 2.170235 -2.071783) (xy 2.087213 -2.070271) (xy 2.032694 -2.056411) + (xy 1.96897 -2.022135) (xy 1.918005 -1.96821) (xy 1.89485 -1.93015) (xy 1.876099 -1.875536) (xy 1.866716 -1.806367) + (xy 1.866643 -1.731406) (xy 1.875824 -1.659409) (xy 1.894199 -1.599137) (xy 1.897093 -1.592955) + (xy 1.939952 -1.532351) (xy 1.997981 -1.488224) (xy 2.066589 -1.461494) (xy 2.141202 -1.453073) + (xy 2.217226 -1.46388) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f3468d01-90d7-4440-b919-bec5cd0a67fc") + ) + (fp_poly + (pts + (xy 0.610764 -1.466056) (xy 0.674364 -1.50069) (xy 0.724123 -1.555372) (xy 0.747568 -1.599842) (xy 0.757633 -1.639122) + (xy 0.764158 -1.695116) (xy 0.766951 -1.759621) (xy 0.765836 -1.824429) (xy 0.760626 -1.881334) + (xy 0.754541 -1.911727) (xy 0.734013 -1.953306) (xy 0.698465 -1.997468) (xy 0.655618 -2.036089) + (xy 0.613209 -2.061035) (xy 0.612178 -2.061432) (xy 0.559554 -2.072329) (xy 0.49719 -2.0726) (xy 0.437923 -2.062677) + (xy 0.415038 -2.05472) (xy 0.356102 -2.0213) (xy 0.31389 -1.977511) (xy 0.286155 -1.919538) (xy 0.270651 -1.843567) + (xy 0.267141 -1.803771) (xy 0.26759 -1.753766) (xy 0.402376 -1.753766) (xy 0.406917 -1.826731) (xy 0.419984 -1.882334) + (xy 0.440756 -1.917863) (xy 0.45555 -1.92802) (xy 0.493463 -1.935106) (xy 0.538527 -1.933007) (xy 0.577485 -1.922812) + (xy 0.587706 -1.917204) (xy 0.614658 -1.884536) (xy 0.632451 -1.834545) (xy 0.640024 -1.773705) + (xy 0.636325 -1.708497) (xy 0.628057 -1.669254) (xy 0.604323 -1.623807) (xy 0.566847 -1.595395) + (xy 0.52172 -1.585573) (xy 0.475011 -1.595885) (xy 0.43913 -1.621112) (xy 0.420278 -1.641926) (xy 0.409273 -1.662439) + (xy 0.404026 -1.690203) (xy 0.402449 -1.732762) (xy 0.402376 -1.753766) (xy 0.26759 -1.753766) (xy 0.268093 -1.697578) + (xy 0.285387 -1.610499) (xy 0.319027 -1.542531) (xy 0.369018 -1.493664) (xy 0.435354 -1.463899) + (xy 0.449602 -1.46045) (xy 0.535212 -1.452343) (xy 0.610764 -1.466056) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "9eb13ae9-654c-4af6-a85b-fd2c0b6e0dba") + ) + (fp_poly + (pts + (xy 0.281524 -2.404237) (xy 0.331254 -2.407973) (xy 0.461291 -2.797773) (xy 0.481678 -2.728614) + (xy 0.493948 -2.685874) (xy 0.510085 -2.628117) (xy 0.527513 -2.564625) (xy 0.536726 -2.530568) + (xy 0.571389 -2.401684) (xy 0.714391 -2.401683) (xy 0.671647 -2.536855) (xy 0.650594 -2.603341) + (xy 0.625167 -2.683539) (xy 0.598611 -2.767195) (xy 0.574901 -2.841783) (xy 0.520903 -3.011533) + (xy 0.4626 -3.015327) (xy 0.404295 -3.019122) (xy 0.372679 -2.914734) (xy 0.353184 -2.849889) (xy 0.331904 -2.778399) + (xy 0.313309 -2.715263) (xy 0.312573 -2.712752) (xy 0.298685 -2.669967) (xy 0.286429 -2.640779) + (xy 0.277846 -2.629743) (xy 0.276084 -2.631018) (xy 0.269891 -2.64813) (xy 0.258126 -2.684789) (xy 0.242225 -2.736378) + (xy 0.223614 -2.798292) (xy 0.213545 -2.832352) (xy 0.159007 -3.017822) (xy 0.043265 -3.017821) + (xy -0.049261 -2.725471) (xy -0.075257 -2.643463) (xy -0.098934 -2.568987) (xy -0.119181 -2.505544) + (xy -0.134874 -2.456632) (xy -0.144898 -2.425749) (xy -0.147945 -2.416725) (xy -0.145533 -2.407487) + (xy -0.126594 -2.40344) (xy -0.087177 -2.403848) (xy -0.081007 -2.40415) (xy -0.007914 -2.407971) + (xy 0.039955 -2.584011) (xy 0.057552 -2.648211) (xy 0.073277 -2.704649) (xy 0.085746 -2.748422) + (xy 0.093572 -2.774631) (xy 0.09502 -2.778904) (xy 0.101015 -2.773989) (xy 0.113101 -2.748532) (xy 0.129891 -2.705998) + (xy 0.150003 -2.64985) (xy 0.167003 -2.59913) (xy 0.231796 -2.400503) (xy 0.281524 -2.404237) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "951b4e15-71cb-4d7d-961d-1fdaafd3f186") + ) + (fp_poly + (pts + (xy -2.53826 -1.465147) (xy -2.472478 -1.494232) (xy -2.42254 -1.542791) (xy -2.388375 -1.610909) + (xy -2.369906 -1.698653) (xy -2.368585 -1.712351) (xy -2.367546 -1.808939) (xy -2.380994 -1.8936) + (xy -2.408106 -1.96222) (xy -2.422627 -1.984294) (xy -2.473202 -2.031009) (xy -2.53761 -2.061266) + (xy -2.609666 -2.073823) (xy -2.683186 -2.067441) (xy -2.739072 -2.047772) (xy -2.78713 -2.014627) + (xy -2.826412 -1.971177) (xy -2.827094 -1.97016) (xy -2.843044 -1.943339) (xy -2.85341 -1.916368) + (xy -2.859688 -1.882332) (xy -2.863372 -1.83431) (xy -2.864998 -1.794933) (xy -2.865672 -1.759219) + (xy -2.739955 -1.759219) (xy -2.738726 -1.794771) (xy -2.734266 -1.842094) (xy -2.726397 -1.872465) + (xy -2.712207 -1.894072) (xy -2.698917 -1.906692) (xy -2.6518 -1.933122) (xy -2.602503 -1.936651) + (xy -2.556593 -1.917639) (xy -2.533638 -1.89633) (xy -2.517094 -1.874859) (xy -2.507421 -1.854313) + (xy -2.503174 -1.827574) (xy -2.50292 -1.787523) (xy -2.50423 -1.750637) (xy -2.507043 -1.697947) + (xy -2.511503 -1.663773) (xy -2.519549 -1.641479) (xy -2.533103 -1.62444) (xy -2.543844 -1.614703) + (xy -2.588778 -1.589122) (xy -2.637251 -1.587847) (xy -2.677894 -1.603) (xy -2.712567 -1.634642) + (xy -2.733224 -1.68662) (xy -2.739955 -1.759219) (xy -2.865672 -1.759219) (xy -2.866479 -1.716621) + (xy -2.863946 -1.658056) (xy -2.856362 -1.614009) (xy -2.842679 -1.579246) (xy -2.821865 -1.548551) + (xy -2.814147 -1.539436) (xy -2.765888 -1.494023) (xy -2.714128 -1.467493) (xy -2.650828 -1.456379) + (xy -2.619963 -1.455471) (xy -2.53826 -1.465147) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "28e429ad-50f9-4eb1-894a-0024b86b2905") + ) + (fp_poly + (pts + (xy -0.201187 -3.017822) (xy -0.270346 -3.017823) (xy -0.310488 -3.016645) (xy -0.331395 -3.011773) + (xy -0.338924 -3.001186) (xy -0.339503 -2.994029) (xy -0.340775 -2.979676) (xy -0.348779 -2.976923) + (xy -0.369813 -2.98577) (xy -0.386173 -2.994029) (xy -0.448977 -3.013597) (xy -0.517248 -3.014729) + (xy -0.572752 -3.000135) (xy -0.624435 -2.964876) (xy -0.663835 -2.912837) (xy -0.685413 -2.851448) + (xy -0.685963 -2.84802) (xy -0.689166 -2.810573) (xy -0.690761 -2.756815) (xy -0.690632 -2.716156) + (xy -0.553277 -2.716155) (xy -0.550097 -2.770194) (xy -0.542861 -2.814736) (xy -0.533061 -2.83989) + (xy -0.495989 -2.874262) (xy -0.451974 -2.886582) (xy -0.406585 -2.876617) (xy -0.367798 -2.846894) + (xy -0.35311 -2.826906) (xy -0.344518 -2.803052) (xy -0.340498 -2.76823) (xy -0.339505 -2.71593) + (xy -0.341278 -2.664139) (xy -0.345963 -2.618634) (xy -0.352604 -2.588179) (xy -0.353711 -2.585454) + (xy -0.380492 -2.552999) (xy -0.419579 -2.535183) (xy -0.463313 -2.532307) (xy -0.504036 -2.544674) + (xy -0.534088 -2.572592) (xy -0.537204 -2.578148) (xy -0.546961 -2.612022) (xy -0.552279 -2.660729) + (xy -0.553277 -2.716155) (xy -0.690632 -2.716156) (xy -0.69057 -2.69554) (xy -0.689664 -2.662563) + (xy -0.683514 -2.580981) (xy -0.670731 -2.519729) (xy -0.649471 -2.474451) (xy -0.617877 -2.440781) + (xy -0.587207 -2.421015) (xy -0.544354 -2.40712) (xy -0.491058 -2.402355) (xy -0.436481 -2.406236) + (xy -0.389794 -2.41828) (xy -0.365124 -2.432693) (xy -0.339506 -2.455878) (xy -0.339504 -2.162773) + (xy -0.201187 -2.162773) (xy -0.201187 -3.017822) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "2708145d-ff2a-479a-b3c9-47ab8d5671c5") + ) + (fp_poly + (pts + (xy 2.677895 -1.456457) (xy 2.710095 -1.464277) (xy 2.771824 -1.492923) (xy 2.824609 -1.536665) + (xy 2.86114 -1.589116) (xy 2.866157 -1.600893) (xy 2.873045 -1.63174) (xy 2.877864 -1.677371) (xy 2.879503 -1.723491) + (xy 2.879505 -1.810695) (xy 2.697178 -1.810695) (xy 2.621981 -1.810977) (xy 2.569004 -1.812707) + (xy 2.535324 -1.81718) (xy 2.518018 -1.82572) (xy 2.514163 -1.83963) (xy 2.520829 -1.860222) (xy 2.532771 -1.884317) + (xy 2.566082 -1.924527) (xy 2.612367 -1.94456) (xy 2.668944 -1.943904) (xy 2.733033 -1.922101) (xy 2.788419 -1.895194) + (xy 2.834377 -1.931532) (xy 2.880332 -1.967873) (xy 2.837096 -2.00782) (xy 2.779375 -2.045562) (xy 2.708386 -2.06832) + (xy 2.632031 -2.074689) (xy 2.558199 -2.063268) (xy 2.546287 -2.059393) (xy 2.481398 -2.025506) + (xy 2.433129 -1.974985) (xy 2.400466 -1.906328) (xy 2.382386 -1.818012) (xy 2.382174 -1.81612) (xy 2.380556 -1.719878) + (xy 2.387098 -1.685541) (xy 2.514852 -1.685542) (xy 2.526586 -1.690822) (xy 2.558437 -1.694867) + (xy 2.605397 -1.697176) (xy 2.635154 -1.697525) (xy 2.690646 -1.697306) (xy 2.725346 -1.695917) + (xy 2.7436 -1.692253) (xy 2.749766 -1.685213) (xy 2.748197 -1.67369) (xy 2.746879 -1.669235) (xy 2.724382 -1.627355) + (xy 2.689003 -1.593604) (xy 2.65778 -1.578773) (xy 2.616301 -1.57967) (xy 2.574271 -1.598163) (xy 2.539012 -1.628786) + (xy 2.517853 -1.666063) (xy 2.514852 -1.685542) (xy 2.387098 -1.685541) (xy 2.39669 -1.635229) (xy 2.428698 -1.564191) + (xy 2.4747 -1.508777) (xy 2.532823 -1.471008) (xy 2.60118 -1.452896) (xy 2.677895 -1.456457) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "802573ab-a526-40ed-a63a-dfeb3339ab02") + ) + (fp_poly + (pts + (xy 0.014017 -1.456452) (xy 0.061632 -1.465483) (xy 0.111035 -1.484368) (xy 0.116313 -1.486778) + (xy 0.153775 -1.506476) (xy 0.179719 -1.524781) (xy 0.188103 -1.536508) (xy 0.180116 -1.555632) + (xy 0.160721 -1.583848) (xy 0.15211 -1.594384) (xy 0.11663 -1.635846) (xy 0.070887 -1.608858) (xy 0.02735 -1.59088) + (xy -0.022948 -1.581268) (xy -0.071189 -1.58066) (xy -0.108534 -1.589693) (xy -0.117494 -1.595329) + (xy -0.134564 -1.621169) (xy -0.136638 -1.65094) (xy -0.123866 -1.674197) (xy -0.116312 -1.678708) + (xy -0.093672 -1.684309) (xy -0.053885 -1.690892) (xy -0.004832 -1.697184) (xy 0.004217 -1.698169) + (xy 0.082997 -1.711799) (xy 0.140136 -1.734946) (xy 0.17803 -1.769752) (xy 0.199077 -1.818355) (xy 0.205635 -1.877718) + (xy 0.196576 -1.9452) (xy 0.167165 -1.998189) (xy 0.11728 -2.036782) (xy 0.046801 -2.061081) (xy -0.031435 -2.070667) + (xy -0.095235 -2.07055) (xy -0.146984 -2.061843) (xy -0.182327 -2.049827) (xy -0.226984 -2.02888) + (xy -0.268253 -2.004574) (xy -0.282921 -1.993876) (xy -0.320643 -1.963084) (xy -0.275147 -1.917051) + (xy -0.229655 -1.871012) (xy -0.177927 -1.905243) (xy -0.126048 -1.930952) (xy -0.070648 -1.9444) + (xy -0.017395 -1.945819) (xy 0.028047 -1.935443) (xy 0.060016 -1.913507) (xy 0.070336 -1.894998) + (xy 0.06879 -1.865315) (xy 0.04314 -1.842615) (xy -0.006539 -1.826942) (xy -0.060967 -1.819695) + (xy -0.144736 -1.805873) (xy -0.206967 -1.779796) (xy -0.248493 -1.740699) (xy -0.270145 -1.687821) + (xy -0.273147 -1.625126) (xy -0.258329 -1.559642) (xy -0.224546 -1.510144) (xy -0.171496 -1.476407) + (xy -0.098876 -1.458208) (xy -0.04507 -1.454641) (xy 0.014017 -1.456452) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "1718b147-7457-4ad2-8719-ea23c5d90394") + ) + (fp_poly + (pts + (xy 2.03258 -2.40497) (xy 2.092685 -2.420597) (xy 2.143022 -2.452849) (xy 2.167394 -2.476939) (xy 2.207343 -2.533895) + (xy 2.230242 -2.599964) (xy 2.238108 -2.681182) (xy 2.23815 -2.687749) (xy 2.238218 -2.753763) (xy 1.858264 -2.753763) + (xy 1.866363 -2.788344) (xy 1.880986 -2.81966) (xy 1.906581 -2.852291) (xy 1.911934 -2.857502) (xy 1.957943 -2.885694) + (xy 2.01041 -2.890475) (xy 2.070805 -2.871926) (xy 2.08104 -2.866931) (xy 2.112439 -2.851745) (xy 2.133469 -2.843096) + (xy 2.137139 -2.842292) (xy 2.149948 -2.850063) (xy 2.174378 -2.869072) (xy 2.186779 -2.879462) + (xy 2.212475 -2.903321) (xy 2.220916 -2.919075) (xy 2.215058 -2.93357) (xy 2.211927 -2.937535) (xy 2.190725 -2.954879) + (xy 2.15574 -2.975961) (xy 2.131339 -2.988265) (xy 2.062072 -3.009946) (xy 1.985386 -3.01697) (xy 1.912767 -3.008647) + (xy 1.892426 -3.002687) (xy 1.829478 -2.968952) (xy 1.782815 -2.917045) (xy 1.752172 -2.846459) + (xy 1.737283 -2.756693) (xy 1.735647 -2.709753) (xy 1.74042 -2.641414) (xy 1.86099 -2.641416) (xy 1.872652 -2.646465) + (xy 1.903998 -2.650429) (xy 1.949571 -2.652768) (xy 1.980445 -2.65317) (xy 2.035983 -2.652782) (xy 2.071033 -2.650976) + (xy 2.090262 -2.646771) (xy 2.098328 -2.639204) (xy 2.099902 -2.628217) (xy 2.089123 -2.594379) + (xy 2.06198 -2.56094) (xy 2.026279 -2.535272) (xy 1.99056 -2.524772) (xy 1.942048 -2.534086) (xy 1.900053 -2.561013) + (xy 1.870938 -2.599827) (xy 1.86099 -2.641416) (xy 1.74042 -2.641414) (xy 1.742599 -2.610234) (xy 1.764054 -2.53095) + (xy 1.800472 -2.471263) (xy 1.852298 -2.430551) (xy 1.91999 -2.408179) (xy 1.956662 -2.40387) (xy 2.03258 -2.40497) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "47d9ec76-0849-4a8f-bb41-0a1e900e09f9") + ) + (fp_poly + (pts + (xy -1.356699 -1.472614) (xy -1.344168 -1.478514) (xy -1.300799 -1.510283) (xy -1.25979 -1.556646) + (xy -1.229166 -1.607695) (xy -1.220461 -1.631166) (xy -1.212511 -1.673091) (xy -1.207772 -1.723756) + (xy -1.207201 -1.744679) (xy -1.207128 -1.810691) (xy -1.587081 -1.810692) (xy -1.578982 -1.845272) + (xy -1.559104 -1.88617) (xy -1.524348 -1.921515) (xy -1.482999 -1.944284) (xy -1.456649 -1.94901) + (xy -1.420918 -1.943274) (xy -1.378284 -1.928882) (xy -1.363799 -1.92226) (xy -1.31024 -1.895513) + (xy -1.264533 -1.930376) (xy -1.238158 -1.953956) (xy -1.224124 -1.973417) (xy -1.223414 -1.979129) + (xy -1.235952 -1.992971) (xy -1.263426 -2.014011) (xy -1.288365 -2.030424) (xy -1.355663 -2.05993) + (xy -1.431109 -2.073284) (xy -1.505889 -2.06981) (xy -1.565496 -2.051661) (xy -1.626938 -2.012784) + (xy -1.67061 -1.961595) (xy -1.697926 -1.895369) (xy -1.710322 -1.811371) (xy -1.711421 -1.772936) + (xy -1.70702 -1.68486) (xy -1.706482 -1.682299) (xy -1.580583 -1.682297) (xy -1.577115 -1.690558) + (xy -1.562863 -1.695115) (xy -1.53347 -1.697067) (xy -1.484576 -1.697515) (xy -1.46575 -1.697526) + (xy -1.408469 -1.696844) (xy -1.372141 -1.694364) (xy -1.352602 -1.689444) (xy -1.345692 -1.681433) + (xy -1.345445 -1.678862) (xy -1.353336 -1.658421) (xy -1.373086 -1.629791) (xy -1.381575 -1.619763) + (xy -1.413093 -1.591406) (xy -1.445949 -1.580259) (xy -1.463651 -1.579329) (xy -1.511541 -1.590981) + (xy -1.551699 -1.622285) (xy -1.577173 -1.667753) (xy -1.577626 -1.669234) (xy -1.580583 -1.682297) + (xy -1.706482 -1.682299) (xy -1.692393 -1.615511) (xy -1.66604 -1.560023) (xy -1.633806 -1.520637) + (xy -1.574217 -1.477933) (xy -1.50417 -1.455109) (xy -1.429659 -1.453045) (xy -1.356699 -1.472614) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "10b03969-99ab-4b99-8368-f38899b6d503") + ) + (fp_poly + (pts + (xy 1.038411 -2.405416) (xy 1.09141 -2.418289) (xy 1.106729 -2.42511) (xy 1.136429 -2.442974) (xy 1.159219 -2.463095) + (xy 1.176082 -2.488961) (xy 1.187998 -2.524073) (xy 1.195942 -2.571921) (xy 1.200896 -2.635995) + (xy 1.203832 -2.719795) (xy 1.204947 -2.775768) (xy 1.209054 -3.01782) (xy 1.138931 -3.017822) (xy 1.096393 -3.016038) + (xy 1.074477 -3.009943) (xy 1.068811 -2.999704) (xy 1.065823 -2.988637) (xy 1.052451 -2.990754) + (xy 1.034233 -2.999629) (xy 0.988622 -3.013234) (xy 0.930005 -3.016898) (xy 0.868354 -3.010902) + (xy 0.813638 -2.995521) (xy 0.808732 -2.993387) (xy 0.758723 -2.958256) (xy 0.725754 -2.909419) + (xy 0.710587 -2.852334) (xy 0.711747 -2.831825) (xy 0.835506 -2.831825) (xy 0.846413 -2.859425) + (xy 0.878745 -2.879202) (xy 0.930912 -2.889819) (xy 0.958785 -2.891227) (xy 1.005249 -2.88762) (xy 1.036129 -2.873596) + (xy 1.043664 -2.866928) (xy 1.064076 -2.830667) (xy 1.06881 -2.797771) (xy 1.068813 -2.753762) (xy 1.007515 -2.753763) + (xy 0.936256 -2.757395) (xy 0.886277 -2.76882) (xy 0.854694 -2.788823) (xy 0.847628 -2.797744) (xy 0.835506 -2.831825) + (xy 0.711747 -2.831825) (xy 0.713973 -2.792457) (xy 0.736663 -2.735244) (xy 0.767624 -2.69658) (xy 0.786376 -2.679864) + (xy 0.804731 -2.668879) (xy 0.828618 -2.662183) (xy 0.863957 -2.658326) (xy 0.916669 -2.655873) + (xy 0.937578 -2.655167) (xy 1.06881 -2.650879) (xy 1.06862 -2.611158) (xy 1.063537 -2.569405) (xy 1.045162 -2.54416) + (xy 1.00804 -2.528028) (xy 1.007043 -2.527742) (xy 0.954408 -2.5214) (xy 0.902906 -2.529682) (xy 0.86463 -2.549827) + (xy 0.849272 -2.559775) (xy 0.83273 -2.558399) (xy 0.807275 -2.543987) (xy 0.792329 -2.533816) (xy 0.76309 -2.51209) + (xy 0.74498 -2.495803) (xy 0.742074 -2.491138) (xy 0.75404 -2.467005) (xy 0.789394 -2.438185) (xy 0.804752 -2.428461) + (xy 0.848903 -2.411715) (xy 0.9084 -2.402227) (xy 0.974487 -2.400095) (xy 1.038411 -2.405416) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "242c4ccb-ca3e-4c47-8812-dea61bf61d2e") + ) + (fp_poly + (pts + (xy -1.384208 -2.406554) (xy -1.325055 -2.422339) (xy -1.280023 -2.45095) (xy -1.248246 -2.488419) + (xy -1.238368 -2.504411) (xy -1.231073 -2.521161) (xy -1.225975 -2.54259) (xy -1.222678 -2.572617) + (xy -1.220797 -2.615154) (xy -1.219936 -2.674124) (xy -1.219705 -2.75344) (xy -1.219705 -2.774484) + (xy -1.219704 -3.01782) (xy -1.280061 -3.017822) (xy -1.318557 -3.015123) (xy -1.347023 -3.008295) + (xy -1.354155 -3.004083) (xy -1.373652 -2.996813) (xy -1.393566 -3.004083) (xy -1.426352 -3.013162) + (xy -1.473978 -3.016813) (xy -1.526764 -3.015228) (xy -1.575036 -3.00859) (xy -1.603216 -3.000071) + (xy -1.657753 -2.965063) (xy -1.691833 -2.91648) (xy -1.707156 -2.851881) (xy -1.707297 -2.850224) + (xy -1.705956 -2.821568) (xy -1.584356 -2.821566) (xy -1.573726 -2.854161) (xy -1.55641 -2.872505) + (xy -1.521652 -2.886379) (xy -1.475773 -2.891917) (xy -1.428986 -2.88919) (xy -1.391514 -2.878275) + (xy -1.381013 -2.871269) (xy -1.362668 -2.838904) (xy -1.358021 -2.802109) (xy -1.35802 -2.753763) + (xy -1.427581 -2.753761) (xy -1.493667 -2.758848) (xy -1.543766 -2.773264) (xy -1.57493 -2.795728) + (xy -1.584356 -2.821566) (xy -1.705956 -2.821568) (xy -1.703986 -2.779649) (xy -1.68071 -2.723845) + (xy -1.636947 -2.681649) (xy -1.630899 -2.677806) (xy -1.604909 -2.665309) (xy -1.572733 -2.65774) + (xy -1.52776 -2.654062) (xy -1.474331 -2.653216) (xy -1.35802 -2.653169) (xy -1.358019 -2.60441) + (xy -1.362953 -2.566582) (xy -1.375542 -2.541238) (xy -1.377017 -2.539887) (xy -1.405034 -2.5288) + (xy -1.447328 -2.524502) (xy -1.494066 -2.526614) (xy -1.535419 -2.534757) (xy -1.559957 -2.546965) + (xy -1.573255 -2.556745) (xy -1.587294 -2.558614) (xy -1.606671 -2.550601) (xy -1.635976 -2.530739) + (xy -1.679803 -2.497063) (xy -1.683825 -2.493907) (xy -1.681764 -2.482236) (xy -1.66457 -2.46282) + (xy -1.638433 -2.441248) (xy -1.609554 -2.423097) (xy -1.600478 -2.418809) (xy -1.56738 -2.410256) + (xy -1.51888 -2.404153) (xy -1.464694 -2.401708) (xy -1.462163 -2.401704) (xy -1.384208 -2.406554) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "8be179a3-7fcf-44ca-94e7-b6215c7e5b5d") + ) + (fp_poly + (pts + (xy -1.908757 -1.469186) (xy -1.882248 -1.482281) (xy -1.849553 -1.505106) (xy -1.825725 -1.529996) + (xy -1.809408 -1.561249) (xy -1.79924 -1.603166) (xy -1.793872 -1.660042) (xy -1.791943 -1.736184) + (xy -1.791831 -1.768917) (xy -1.79216 -1.840658) (xy -1.793527 -1.891929) (xy -1.7965 -1.927404) + (xy -1.80165 -1.951766) (xy -1.809541 -1.969679) (xy -1.817755 -1.981901) (xy -1.870187 -2.033905) + (xy -1.931928 -2.065183) (xy -1.998537 -2.074595) (xy -2.065558 -2.060978) (xy -2.086792 -2.051354) + (xy -2.137622 -2.024861) (xy -2.137622 -2.440052) (xy -2.100525 -2.420868) (xy -2.051641 -2.406026) + (xy -1.991561 -2.402222) (xy -1.931563 -2.409243) (xy -1.886256 -2.425012) (xy -1.848675 -2.455047) + (xy -1.816562 -2.498022) (xy -1.81415 -2.502436) (xy -1.803967 -2.523221) (xy -1.79653 -2.54417) + (xy -1.791411 -2.569548) (xy -1.788181 -2.603618) (xy -1.786415 -2.65064) (xy -1.785677 -2.71488) + (xy -1.785542 -2.787175) (xy -1.785544 -3.017822) (xy -1.923863 -3.017822) (xy -1.92386 -2.592534) + (xy -1.962551 -2.559979) (xy -2.002738 -2.533937) (xy -2.040798 -2.529205) (xy -2.079067 -2.541387) + (xy -2.099461 -2.553319) (xy -2.114642 -2.570313) (xy -2.125438 -2.595998) (xy -2.132683 -2.633991) + (xy -2.137208 -2.687926) (xy -2.139844 -2.761427) (xy -2.140771 -2.810346) (xy -2.14391 -3.011534) + (xy -2.209927 -3.015335) (xy -2.27594 -3.019136) (xy -2.27594 -1.77065) (xy -2.137626 -1.770649) + (xy -2.134097 -1.840253) (xy -2.122216 -1.888571) (xy -2.100022 -1.91863) (xy -2.065557 -1.93347) + (xy -2.030743 -1.936438) (xy -1.991329 -1.933028) (xy -1.96517 -1.919619) (xy -1.948816 -1.901897) + (xy -1.935935 -1.882835) (xy -1.928274 -1.861603) (xy -1.92486 -1.831849) (xy -1.924748 -1.787236) + (xy -1.925899 -1.74988) (xy -1.928533 -1.693603) (xy -1.932456 -1.656658) (xy -1.939064 -1.633221) + (xy -1.94975 -1.617483) (xy -1.959833 -1.608381) (xy -2.001971 -1.588535) (xy -2.051838 -1.585332) + (xy -2.080477 -1.592167) (xy -2.108829 -1.616462) (xy -2.127609 -1.663726) (xy -2.136711 -1.733623) + (xy -2.137626 -1.770649) (xy -2.27594 -1.77065) (xy -2.275939 -1.458615) (xy -2.206782 -1.458614) + (xy -2.16526 -1.460255) (xy -2.14384 -1.466087) (xy -2.137628 -1.477459) (xy -2.137624 -1.477796) + (xy -2.134743 -1.488937) (xy -2.122029 -1.487674) (xy -2.096756 -1.475433) (xy -2.03787 -1.45671) + (xy -1.971616 -1.454738) (xy -1.908757 -1.469186) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "2326455a-e9b5-4389-92be-010dae4575fb") + ) + (fp_poly + (pts + (xy 0.376964 2.709982) (xy 0.43381 2.40843) (xy 0.853339 2.235486) (xy 1.104984 2.406607) (xy 1.175458 2.454252) + (xy 1.239162 2.496789) (xy 1.293126 2.532285) (xy 1.334373 2.55879) (xy 1.359934 2.574364) (xy 1.366895 2.577722) + (xy 1.379433 2.569086) (xy 1.40623 2.54521) (xy 1.44428 2.509141) (xy 1.490579 2.463933) (xy 1.542123 2.412638) + (xy 1.59591 2.358298) (xy 1.648936 2.303972) (xy 1.698193 2.252704) (xy 1.740688 2.207547) (xy 1.773406 2.171554) + (xy 1.793353 2.147771) (xy 1.798119 2.13981) (xy 1.791257 2.125137) (xy 1.772021 2.092987) (xy 1.74243 2.046506) + (xy 1.704512 1.988846) (xy 1.660283 1.923141) (xy 1.634654 1.885664) (xy 1.587941 1.817232) (xy 1.546433 1.755479) + (xy 1.51214 1.703481) (xy 1.48708 1.664306) (xy 1.473264 1.641035) (xy 1.471188 1.636147) (xy 1.475895 1.622245) + (xy 1.488721 1.589847) (xy 1.507736 1.543516) (xy 1.531002 1.487795) (xy 1.556584 1.427242) (xy 1.582544 1.366415) + (xy 1.606952 1.309862) (xy 1.627863 1.262148) (xy 1.643349 1.227819) (xy 1.651471 1.211431) (xy 1.65195 1.210786) + (xy 1.664706 1.207656) (xy 1.698677 1.200679) (xy 1.75034 1.190533) (xy 1.816176 1.177908) (xy 1.892664 1.163492) + (xy 1.93729 1.155177) (xy 2.019022 1.139616) (xy 2.092843 1.124807) (xy 2.155022 1.111564) (xy 2.201824 1.100695) + (xy 2.229509 1.093011) (xy 2.235074 1.090573) (xy 2.240527 1.074072) (xy 2.244925 1.036802) (xy 2.248269 0.983121) + (xy 2.250574 0.917388) (xy 2.251832 0.843963) (xy 2.252048 0.767204) (xy 2.251225 0.691468) (xy 2.249372 0.621115) + (xy 2.246481 0.5605) (xy 2.242565 0.513982) (xy 2.237622 0.485923) (xy 2.234655 0.480084) (xy 2.216935 0.473082) + (xy 2.179382 0.463072) (xy 2.126964 0.451232) (xy 2.064652 0.438733) (xy 2.0429 0.43469) (xy 1.938025 0.415481) + (xy 1.85518 0.400009) (xy 1.791629 0.387664) (xy 1.744636 0.377829) (xy 1.711463 0.369884) (xy 1.689371 0.363224) + (xy 1.675625 0.357228) (xy 1.667484 0.351282) (xy 1.666342 0.350106) (xy 1.654976 0.331175) (xy 1.637633 0.29433) + (xy 1.61605 0.244087) (xy 1.591953 0.184953) (xy 1.567079 0.121444) (xy 1.543157 0.058068) (xy 1.52192 -0.00066) + (xy 1.505096 -0.050235) (xy 1.494422 -0.086141) (xy 1.491626 -0.103863) (xy 1.49186 -0.104483) (xy 1.501329 -0.118969) + (xy 1.522816 -0.150846) (xy 1.554064 -0.19679) (xy 1.592807 -0.253485) (xy 1.636791 -0.317616) (xy 1.64932 -0.335842) + (xy 1.693983 -0.401914) (xy 1.733287 -0.4622) (xy 1.765087 -0.513233) (xy 1.787247 -0.55156) (xy 1.797616 -0.573712) + (xy 1.798119 -0.576432) (xy 1.789405 -0.590736) (xy 1.765323 -0.619072) (xy 1.728976 -0.658396) + (xy 1.683453 -0.705663) (xy 1.63185 -0.757822) (xy 1.577268 -0.811835) (xy 1.522794 -0.864653) (xy 1.471528 -0.913231) + (xy 1.426567 -0.954522) (xy 1.391004 -0.985485) (xy 1.367937 -1.00307) (xy 1.361553 -1.005941) (xy 1.346699 -0.99918) + (xy 1.316286 -0.980938) (xy 1.27527 -0.954296) (xy 1.243711 -0.932852) (xy 1.186525 -0.893502) (xy 1.118806 -0.847171) + (xy 1.05088 -0.800913) (xy 1.014362 -0.776156) (xy 0.890753 -0.69255) (xy 0.786992 -0.748651) (xy 0.73972 -0.773231) + (xy 0.699523 -0.792331) (xy 0.672326 -0.803227) (xy 0.665401 -0.804742) (xy 0.657077 -0.793549) + (xy 0.640656 -0.761919) (xy 0.617357 -0.712765) (xy 0.588414 -0.64901) (xy 0.55505 -0.573571) (xy 0.518489 -0.489364) + (xy 0.479964 -0.399308) (xy 0.440695 -0.306322) (xy 0.401906 -0.21332) (xy 0.364829 -0.123223) (xy 0.33069 -0.038948) + (xy 0.300708 0.036587) (xy 0.276116 0.100466) (xy 0.258136 0.149768) (xy 0.247997 0.181578) (xy 0.246365 0.192505) + (xy 0.259289 0.206439) (xy 0.28759 0.22906) (xy 0.325348 0.255668) (xy 0.328512 0.257773) (xy 0.426102 0.335887) + (xy 0.504787 0.427016) (xy 0.563892 0.528254) (xy 0.602732 0.636682) (xy 0.62063 0.749385) (xy 0.616896 0.863453) + (xy 0.590859 0.975969) (xy 0.541825 1.084013) (xy 0.527399 1.107653) (xy 0.45237 1.203111) (xy 0.363731 1.27977) + (xy 0.264549 1.33722) (xy 0.157894 1.375071) (xy 0.046837 1.392921) (xy -0.065561 1.390375) (xy -0.176229 1.36703) + (xy -0.282092 1.322492) (xy -0.380095 1.256355) (xy -0.410408 1.229511) (xy -0.487562 1.145488) + (xy -0.543782 1.057036) (xy -0.582345 0.957884) (xy -0.603825 0.859696) (xy -0.609128 0.749303) + (xy -0.591448 0.63836) (xy -0.552581 0.530619) (xy -0.494323 0.429831) (xy -0.418469 0.339742) (xy -0.326818 0.264109) + (xy -0.314774 0.256136) (xy -0.276613 0.230027) (xy -0.2476 0.207404) (xy -0.233732 0.192961) (xy -0.233533 0.192504) + (xy -0.236509 0.176879) (xy -0.24831 0.141419) (xy -0.267714 0.089039) (xy -0.293487 0.022653) (xy -0.324408 -0.054816) + (xy -0.359249 -0.14045) (xy -0.396781 -0.231335) (xy -0.435782 -0.324558) (xy -0.475024 -0.417197) + (xy -0.513277 -0.506333) (xy -0.549316 -0.589056) (xy -0.581917 -0.662441) (xy -0.609852 -0.723575) + (xy -0.631895 -0.769541) (xy -0.646818 -0.797423) (xy -0.652829 -0.804744) (xy -0.671192 -0.79904) + (xy -0.705553 -0.783747) (xy -0.749984 -0.761599) (xy -0.774417 -0.74865) (xy -0.878178 -0.692547) + (xy -1.001789 -0.776155) (xy -1.064887 -0.818988) (xy -1.13397 -0.866122) (xy -1.198709 -0.910503) + (xy -1.231135 -0.932851) (xy -1.276739 -0.963478) (xy -1.315359 -0.987749) (xy -1.34195 -1.002587) + (xy -1.350591 -1.005721) (xy -1.36316 -0.997263) (xy -1.390983 -0.973637) (xy -1.431361 -0.937302) + (xy -1.481595 -0.890711) (xy -1.538987 -0.836318) (xy -1.575286 -0.801392) (xy -1.638792 -0.738997) + (xy -1.693675 -0.683188) (xy -1.737714 -0.636354) (xy -1.768695 -0.600881) (xy -1.784398 -0.579161) + (xy -1.785907 -0.574755) (xy -1.778914 -0.557985) (xy -1.759594 -0.524082) (xy -1.730091 -0.476476) + (xy -1.692546 -0.418597) (xy -1.6491 -0.353884) (xy -1.636745 -0.335842) (xy -1.591726 -0.270266) + (xy -1.55134 -0.211228) (xy -1.517839 -0.162043) (xy -1.493486 -0.126028) (xy -1.480536 -0.106501) + (xy -1.479284 -0.104485) (xy -1.481156 -0.088924) (xy -1.491087 -0.054709) (xy -1.507347 -0.006353) + (xy -1.528206 0.051629) (xy -1.551927 0.114732) (xy -1.576784 0.178439) (xy -1.601044 0.238237) + (xy -1.622971 0.289624) (xy -1.640839 0.328079) (xy -1.652912 0.349096) (xy -1.653773 0.350106) + (xy -1.661156 0.356113) (xy -1.673624 0.362049) (xy -1.69392 0.36854) (xy -1.724778 0.376191) (xy -1.768934 0.385621) + (xy -1.829126 0.397441) (xy -1.908092 0.412271) (xy -2.00857 0.430723) (xy -2.030326 0.434689) (xy -2.094802 0.447147) + (xy -2.151011 0.459334) (xy -2.193986 0.470073) (xy -2.218758 0.478192) (xy -2.222084 0.480085) + (xy -2.227556 0.496862) (xy -2.232006 0.534356) (xy -2.235428 0.588207) (xy -2.23782 0.654057) (xy -2.239177 0.727547) + (xy -2.239502 0.804319) (xy -2.238781 0.880017) (xy -2.237023 0.950281) (xy -2.234217 1.010748) + (xy -2.230362 1.05707) (xy -2.225456 1.084882) (xy -2.2225 1.090573) (xy -2.206035 1.096313) (xy -2.168551 1.105656) + (xy -2.113774 1.117785) (xy -2.045447 1.131895) (xy -1.967293 1.147168) (xy -1.924715 1.155177) + (xy -1.843929 1.170278) (xy -1.771887 1.18396) (xy -1.712113 1.195531) (xy -1.668122 1.204313) (xy -1.643439 1.209613) + (xy -1.639379 1.210788) (xy -1.632512 1.224033) (xy -1.617998 1.255943) (xy -1.59777 1.301954) (xy -1.573767 1.357509) + (xy -1.547918 1.418049) (xy -1.52216 1.479014) (xy -1.498427 1.535849) (xy -1.478654 1.583994) (xy -1.464774 1.61889) + (xy -1.458726 1.635979) (xy -1.458614 1.636726) (xy -1.465472 1.650207) (xy -1.484698 1.68123) (xy -1.514274 1.726711) + (xy -1.552173 1.783567) (xy -1.596381 1.848715) (xy -1.622078 1.886138) (xy -1.668906 1.954752) + (xy -1.710499 2.017048) (xy -1.744826 2.06987) (xy -1.769859 2.110075) (xy -1.783563 2.134499) (xy -1.785544 2.139976) + (xy -1.777036 2.152722) (xy -1.753506 2.179938) (xy -1.717969 2.218571) (xy -1.673425 2.265578) + (xy -1.622879 2.317905) (xy -1.569337 2.372502) (xy -1.515805 2.42633) (xy -1.465287 2.476329) (xy -1.420794 2.519457) + (xy -1.385325 2.552661) (xy -1.361885 2.572893) (xy -1.354045 2.577721) (xy -1.341282 2.570933) + (xy -1.310744 2.551858) (xy -1.26541 2.522439) (xy -1.208244 2.484621) (xy -1.142218 2.440338) (xy -1.09241 2.406605) + (xy -0.840765 2.235486) (xy -0.631002 2.321958) (xy -0.421237 2.40843) (xy -0.364387 2.709983) (xy -0.30754 3.011536) + (xy 0.320113 3.011534) (xy 0.376964 2.709982) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "bc8f0ddd-9e7a-4d74-8032-13d278652a86") + ) + ) + (gr_line + (start 50.275 139.183312) + (end 102.125 139.183312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "0b121708-c917-438e-926c-7f66a791e9b4") + ) + (gr_arc + (start 159.951808 34.448193) + (mid 162.071962 35.327511) + (end 162.95 37.448192) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "0e551370-fb65-49e3-9095-98f20bf53929") + ) + (gr_arc + (start 175 54.775) + (mid 177.12132 55.65368) + (end 178 57.775) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "10e7b861-6bbc-4870-8c8c-fda10853b954") + ) + (gr_arc + (start 168.525 102.925) + (mid 168.817893 102.217893) + (end 169.525 101.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "13b2708c-8917-47aa-940a-2cdee48031f7") + ) + (gr_arc + (start 103.15 109.701311) + (mid 103.659429 108.829899) + (end 104.668685 108.846346) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "1a929115-70be-4026-8721-cca9915193fc") + ) + (gr_line + (start 47.275 119.60831) + (end 47.275 136.183312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "222e792c-450c-4ea8-b1e3-1dd27cb44287") + ) + (gr_line + (start 169.525 101.925) + (end 173.525 101.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "293edd00-42c1-4838-a639-ca9685abe4d5") + ) + (gr_arc + (start 173.525 101.925) + (mid 174.232107 102.217893) + (end 174.525 102.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "2b97222e-56ee-4d97-bd24-e08416d4435c") + ) + (gr_line + (start 178 57.775) + (end 178 119.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "2dd877ed-0d3a-4cef-8d6b-21bf208ecfeb") + ) + (gr_line + (start 174.525 102.925) + (end 174.525 106.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "323a77c0-7c11-48f9-b86b-bca33c38f45f") + ) + (gr_arc + (start 98.925 136.583312) + (mid 98.217893 136.290419) + (end 97.925 135.583312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "3bef05c7-37ba-4361-893b-38a656daccd1") + ) + (gr_arc + (start 58.475824 107.974176) + (mid 56.353979 107.095786) + (end 55.475 104.974176) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "41972791-bf86-4b3b-b8c8-b07d2f8b577e") + ) + (gr_arc + (start 121.22386 34.467808) + (mid 121.475906 34.435752) + (end 121.729761 34.425154) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "4a15847a-ae4f-42d7-9c0c-d6c4f00b6a68") + ) + (gr_line + (start 165.95 54.775) + (end 175 54.775) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "4d4f6e15-b377-4dd4-a7eb-5c8038e0159c") + ) + (gr_arc + (start 55.475 48.206906) + (mid 56.182371 46.271997) + (end 57.970907 45.249561) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "58ba1b67-f7e4-4a12-bd4d-83b73715fd5f") + ) + (gr_arc + (start 94.92107 116.549825) + (mid 97.044927 117.427114) + (end 97.925 119.549822) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "59d7d00a-f065-47ef-9818-617d2e38e4f7") + ) + (gr_line + (start 101 108.962775) + (end 100.975 135.583312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "5b751220-bd60-48da-bdda-7e884f2c4a59") + ) + (gr_line + (start 50.27107 116.608312) + (end 94.92107 116.549825) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "80e95c57-556c-49b4-84be-480098649d59") + ) + (gr_line + (start 57.970907 45.249561) + (end 121.22386 34.467808) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "86257132-1d13-4966-8424-34bbd41dec24") + ) + (gr_line + (start 99.975 136.583312) + (end 98.925 136.583312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "8ae989c9-1f82-40bd-a757-f167de0bffa6") + ) + (gr_line + (start 127.157804 122.489897) + (end 104.668685 108.846346) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "8c27e148-974a-4eb3-ac0c-9ced60e17616") + ) + (gr_arc + (start 128.713859 122.925) + (mid 127.905993 122.814167) + (end 127.157804 122.489897) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "8deffa7e-4701-4363-9ff9-328154478f7e") + ) + (gr_arc + (start 50.275 139.183312) + (mid 48.15368 138.304632) + (end 47.275 136.183312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "8e8b947b-ade6-4490-b503-9b2ded0540d2") + ) + (gr_line + (start 173.525 107.925) + (end 169.525 107.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "9e60f60e-08d3-4055-bca8-9fa99b15e935") + ) + (gr_arc + (start 178 119.925) + (mid 177.12132 122.04632) + (end 175 122.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "9f0127b4-f860-4499-aa9d-ba4b934a5d45") + ) + (gr_arc + (start 100.975 135.583312) + (mid 100.682107 136.290419) + (end 99.975 136.583312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "a1c4a31a-67b0-402c-b9f1-798bc319f98f") + ) + (gr_line + (start 168.525 106.925) + (end 168.525 102.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "a3050ef1-e318-43b7-b41e-2040d18282ef") + ) + (gr_line + (start 97.925 119.549822) + (end 97.925 135.583312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "b6aa16fe-41ba-44ad-a45f-60f3af57016e") + ) + (gr_arc + (start 174.525 106.925) + (mid 174.232107 107.632107) + (end 173.525 107.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "ba023422-3c4c-456e-b270-ea30365de6a1") + ) + (gr_line + (start 99.999725 107.962775) + (end 58.475824 107.974176) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "ba5a8df6-e825-494b-b364-631bc6d09edc") + ) + (gr_line + (start 162.95 37.448192) + (end 162.95 51.775) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "d3e2e451-7956-4e26-bb0a-0b3c240b929c") + ) + (gr_line + (start 103.125 138.183312) + (end 103.15 109.701311) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "d41264b0-5722-4d8b-a163-fd374168b58b") + ) + (gr_line + (start 55.475 104.974176) + (end 55.475 48.206906) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "e03ff3fa-87e2-4ace-a814-89926ac5091c") + ) + (gr_arc + (start 165.95 54.775) + (mid 163.82868 53.89632) + (end 162.95 51.775) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "e24da96e-310f-4b10-bea1-e8685974bad5") + ) + (gr_arc + (start 99.999725 107.962775) + (mid 100.707018 108.255569) + (end 101 108.962775) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "e3779c30-6d84-4f97-9e6b-5271802124d9") + ) + (gr_arc + (start 103.125 138.183312) + (mid 102.832107 138.890419) + (end 102.125 139.183312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "ef41ab24-d75f-4754-ad91-05f432be0c1b") + ) + (gr_arc + (start 169.525 107.925) + (mid 168.817893 107.632107) + (end 168.525 106.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "f0752547-ebca-40d3-ab4c-4acb630c4704") + ) + (gr_line + (start 175 122.925) + (end 128.713859 122.925) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "f5e54fb0-5804-4f41-bd82-58c7c932777e") + ) + (gr_arc + (start 47.275 119.60831) + (mid 48.152283 117.488372) + (end 50.27107 116.608312) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "fc27fd2e-4668-415b-b04e-5b6ffbc0e29f") + ) + (gr_line + (start 121.729761 34.425154) + (end 159.951808 34.448193) + (stroke + (width 0.1) + (type default) + ) + (layer "Edge.Cuts") + (uuid "fca297b4-e842-4cfb-97ff-973ecd70047e") + ) + (gr_text "anglerfish - Designed by Vincent Franco" + (at 58.5 60.8 90) + (layer "B.SilkS") + (uuid "19de1186-d413-4e36-a6e1-984b11cc18f2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom mirror) + ) + ) + (gr_text "GND\nCLK\nRST\nDATA\nVCC" + (at 113.85 46.55 -0) + (layer "B.SilkS") + (uuid "924fe2a8-7510-455c-8dc5-b8b64d441474") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom mirror) + ) + ) + (gr_text "rev0.1" + (at 93.175 130.425 -90) + (layer "B.SilkS") + (uuid "f4ff64b4-3249-47ab-b2b9-a892f52cad1d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom mirror) + ) + ) + (gr_text "+ -" + (at 172.975 100.5 -0) + (layer "F.SilkS") + (uuid "17c11886-ed9e-45a0-bf74-823fa71f8da9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom mirror) + ) + ) + (gr_text "anglerfish - Designed by Vincent Franco" + (at 58.5 92.4 90) + (layer "F.SilkS") + (uuid "1ab3e207-9916-4b89-bc75-0b424a09db62") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom) + ) + ) + (gr_text "GND\nCLK\nRST\nDATA\nVCC" + (at 110.675 46.575 0) + (layer "F.SilkS") + (uuid "4972eed2-0895-445c-a722-bd1fb7f85d19") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom) + ) + ) + (gr_text "rev0.1" + (at 93.2 125.6 -90) + (layer "F.SilkS") + (uuid "b70f4a0a-b2ef-4d2c-8964-ffb7514e151a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom) + ) + ) + (segment + (start 150.765 105.027028) + (end 160.765 105.027028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "0141d82d-838a-4652-a483-abcf58a844f7") + ) + (segment + (start 152.525 76.175) + (end 152.525 69.713028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "146f824b-6716-4838-813a-d1247c3a2bdd") + ) + (segment + (start 150.765 86.490028) + (end 150.899972 86.625) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "1977c0bc-f58e-4567-94f5-e73fe99f29b3") + ) + (segment + (start 152.45 76.25) + (end 152.525 76.175) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "3a48226f-fac5-458e-982d-aff2a1c7503e") + ) + (segment + (start 150.765 64.115) + (end 140.733553 54.083553) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "3da11dfe-86f2-41fc-891a-03a7c643cf79") + ) + (segment + (start 150.765 67.953028) + (end 150.768028 67.95) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "51c88fb6-fdae-404d-a93e-c4629cb5430f") + ) + (segment + (start 160.761972 67.95) + (end 160.765 67.953028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "5f1c9e67-6c33-4763-8f39-1f14bb4ac77d") + ) + (segment + (start 160.630028 86.625) + (end 160.765 86.490028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "6dd54723-5963-4693-a27a-f3c2cf22bd0e") + ) + (segment + (start 140.733553 54.083553) + (end 140.733553 53.848553) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "8169e29e-ddde-4322-8651-e7c9b751e6dd") + ) + (segment + (start 150.765 67.953028) + (end 150.765 64.115) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "a1b62cca-3aa2-4c5c-9531-bcc7a121461b") + ) + (segment + (start 152.425 78.175) + (end 152.425 84.830028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "a70258aa-b106-4aa0-80b7-bdfe8ee92bf4") + ) + (segment + (start 150.899972 86.625) + (end 160.630028 86.625) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "c8046ffb-35cd-40f5-8d6d-60153b561ac9") + ) + (segment + (start 152.525 69.713028) + (end 150.765 67.953028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "cdb69767-dbf6-4d14-8bdd-c247319ec23e") + ) + (segment + (start 152.425 84.830028) + (end 150.765 86.490028) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "de7279da-0f3e-4198-911f-8bd8409207c3") + ) + (segment + (start 150.768028 67.95) + (end 160.761972 67.95) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "de922b1e-6e88-4fed-919f-386ed0e1141b") + ) + (via + (at 152.425 78.175) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "4e2e00dd-c965-4221-9026-23c3d4c3b927") + ) + (via + (at 152.45 76.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "d5f92d65-a480-4ed1-9afa-b9f0c60ccba6") + ) + (segment + (start 152.45 76.25) + (end 152.425 76.275) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "3da02307-c2e3-48d5-a00c-4fb851df5d67") + ) + (segment + (start 152.425 76.275) + (end 152.425 78.175) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "53b5a5ac-a96c-471e-b727-0997c3d0e279") + ) + (segment + (start 152.65 103.142028) + (end 150.765 105.027028) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "85a93a5c-6b54-4be1-8bca-2510b4806bdd") + ) + (segment + (start 152.65 88.375028) + (end 152.65 103.142028) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "dd03df0e-6c84-4e15-bd40-d6f970e54c86") + ) + (segment + (start 150.765 86.490028) + (end 152.65 88.375028) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "e29ccd15-69b9-4b2b-af52-8ed0fc850f8e") + ) + (segment + (start 164.675 73.9) + (end 164.675 66.3) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "2346e8a4-473b-42a6-9d09-94e94198912a") + ) + (segment + (start 164.675 66.3) + (end 162.35 63.975) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "50250157-3647-4906-9ab2-9c445a760c11") + ) + (segment + (start 157.643028 63.975) + (end 155.765 65.853028) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "a172412a-a2ec-4b75-8a8f-6b0154168311") + ) + (segment + (start 162.35 63.975) + (end 157.643028 63.975) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "ae0eebd1-0f48-4c8c-acb2-926f60e2aff3") + ) + (via + (at 164.675 73.9) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "f6f7b8fb-191c-4695-97a0-bb1a3d385f03") + ) + (segment + (start 141.750001 67.4) + (end 140.1 65.749999) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "1c80b539-fe57-45d0-9ca6-1df3b40ee001") + ) + (segment + (start 132.565 97.196028) + (end 142.565 97.196028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "31a49fdf-4432-41f6-989c-5920a0b7f8cf") + ) + (segment + (start 137.758028 59.858028) + (end 132.565 59.858028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "4888739b-26a9-4c35-a2d0-280a28b952d9") + ) + (segment + (start 137.35 55.3) + (end 135.45 55.3) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "5a7d0364-f6a0-4a91-902d-2a57b40f064b") + ) + (segment + (start 144.408028 59.858028) + (end 148.375 63.825) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "5f19a4aa-09e6-40a6-bea0-abea9bc81b02") + ) + (segment + (start 132.565 58.185) + (end 132.565 59.858028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "5ffa0a44-d953-4a1e-b113-48ea4af193a4") + ) + (segment + (start 134.25 67.55) + (end 134.25 61.543028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "60875b44-1f71-4a86-a500-73b6140f2570") + ) + (segment + (start 148.375 66.2) + (end 147.175 67.4) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "6c911764-a35e-4bf0-b4c1-b226f25a7db8") + ) + (segment + (start 137.84 54.81) + (end 137.35 55.3) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "6f152265-9d51-4b75-8af6-c41e3756283f") + ) + (segment + (start 148.375 63.825) + (end 148.375 66.2) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "744d0da6-3c55-4b2f-a060-70ef41794f1e") + ) + (segment + (start 142.565 59.858028) + (end 144.408028 59.858028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "8c21ae81-7a08-415b-87c8-f051fd5f3819") + ) + (segment + (start 132.565 78.527028) + (end 132.812972 78.775) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "96d6249d-c955-4e8a-b70b-d563f653bf3d") + ) + (segment + (start 134.225 70.1) + (end 134.225 76.867028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "96f50a91-32b2-4dda-8a37-bb77d357dbc6") + ) + (segment + (start 134.225 76.867028) + (end 132.565 78.527028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "96fab701-c67c-448c-b20c-e23747846111") + ) + (segment + (start 140.1 62.2) + (end 137.758028 59.858028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "992305d3-96bc-408b-a740-5928d8b5edeb") + ) + (segment + (start 134.225 67.575) + (end 134.25 67.55) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "99c60e88-80e6-40cb-bfce-cf4a2014e451") + ) + (segment + (start 142.317028 78.775) + (end 142.565 78.527028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "a092ee93-c749-4c04-baeb-6f971e78c0fb") + ) + (segment + (start 134.25 61.543028) + (end 132.565 59.858028) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "a59ea034-0244-44ad-8498-f8540d938fa7") + ) + (segment + (start 147.175 67.4) + (end 141.750001 67.4) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "c8266adf-e678-494c-a3d4-c2c4062e225c") + ) + (segment + (start 135.45 55.3) + (end 132.565 58.185) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "d737a18c-73d3-48a8-888b-6d50de6af120") + ) + (segment + (start 137.84 53.495) + (end 137.84 54.81) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "e31f3771-23df-4327-a6f1-eed9d6cde50e") + ) + (segment + (start 140.1 65.749999) + (end 140.1 62.2) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "e3d52a9d-817f-4695-8477-8e488a78a5a0") + ) + (segment + (start 132.812972 78.775) + (end 142.317028 78.775) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "f765234d-22c5-433f-9025-b39a3e15cbde") + ) + (via + (at 134.225 67.575) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "3dc701f5-a1a6-47e7-be35-9e87c9f46773") + ) + (via + (at 134.225 70.1) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 3) + (uuid "74399661-0301-42cb-bb37-9af04ec2981f") + ) + (segment + (start 132.565 97.196028) + (end 134.025 95.736028) + (width 0.2) + (layer "B.Cu") + (net 3) + (uuid "388be595-ded6-463f-b705-86d3047a12aa") + ) + (segment + (start 134.025 79.987028) + (end 132.565 78.527028) + (width 0.2) + (layer "B.Cu") + (net 3) + (uuid "78c628ef-8895-4955-9e6d-29e0007ea017") + ) + (segment + (start 134.225 67.575) + (end 134.225 70.1) + (width 0.2) + (layer "B.Cu") + (net 3) + (uuid "85fa4054-5990-4bb8-9518-fdbaa06fa3b7") + ) + (segment + (start 134.025 95.736028) + (end 134.025 79.987028) + (width 0.2) + (layer "B.Cu") + (net 3) + (uuid "a1e82c43-a68e-4c79-b9d8-ecf7d3037673") + ) + (segment + (start 146.625 65.6) + (end 142.375 65.6) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "351c2174-5ed2-4fb1-97ec-42b8d8c3faa3") + ) + (segment + (start 141.175 64.4) + (end 141.175 61.35) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "3afea7e1-18f2-4e17-9051-26e2568381d1") + ) + (segment + (start 137.583028 57.758028) + (end 137.565 57.758028) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "5982e452-f952-4da9-94a6-59eae13f56c6") + ) + (segment + (start 146.65 65.625) + (end 146.625 65.6) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "5cec699c-d0bc-429a-b8c4-c9e40219cc14") + ) + (segment + (start 141.175 61.35) + (end 137.583028 57.758028) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "a6a4d163-5666-4595-9fb7-c2f63ba50489") + ) + (segment + (start 142.375 65.6) + (end 141.175 64.4) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "f15d1b34-6354-4eb7-bce6-36179680b7b8") + ) + (via + (at 146.65 65.625) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 4) + (uuid "82d33e9c-ea18-4510-9956-8d61162a547c") + ) + (segment + (start 114.34 86.077028) + (end 114.687972 86.425) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "1b5fc8b3-cb09-45b6-9b42-793d55dbd253") + ) + (segment + (start 117.55 45.3) + (end 122.05 45.3) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "27899ef6-654c-48cd-b58f-8845ba04a3ef") + ) + (segment + (start 114.34 48.51) + (end 117.55 45.3) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "2fb86a83-3bd4-4685-9ed0-bae0b28888ae") + ) + (segment + (start 124.054028 68.075) + (end 124.34 67.789028) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "41afa3e3-de77-4585-a0d3-3d601ffd0262") + ) + (segment + (start 114.687972 86.425) + (end 123.992028 86.425) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "9423d2e0-5b5f-4c07-80b8-57e3538f8e4f") + ) + (segment + (start 114.625972 68.075) + (end 124.054028 68.075) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "946f7c2e-e0f1-44cf-8718-1755be5f047e") + ) + (segment + (start 124.34 49.501028) + (end 124.34 50.24) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "98900cbb-2055-49f8-9bc6-96f203d8d90e") + ) + (segment + (start 114.34 48.51) + (end 114.34 49.501028) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "9a961f6f-51c3-48e5-a994-557ef4480c23") + ) + (segment + (start 114.34 67.789028) + (end 114.625972 68.075) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "aed4ceeb-5551-4d05-89b1-ec57b569c0ae") + ) + (segment + (start 127.595 53.495) + (end 130.22 53.495) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "b0d10b18-d9b3-46cf-bc96-2250b6402f35") + ) + (segment + (start 124.34 47.59) + (end 124.34 49.501028) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "b20fcdd5-db7b-465c-8e55-5ebece2efe27") + ) + (segment + (start 124.34 50.24) + (end 127.595 53.495) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "c5d970d0-ed65-4742-a025-edc28b2377ae") + ) + (segment + (start 122.05 45.3) + (end 124.34 47.59) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "c61212fa-cd3d-4f10-9a68-cc2e086f4cef") + ) + (segment + (start 123.992028 86.425) + (end 124.34 86.077028) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "e6ccda3a-43c0-49e8-a3e4-ab8a885e26bf") + ) + (segment + (start 122 70.129028) + (end 122 83.737028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "1e3cb7e1-9cbc-48e9-840c-f961ef0352d1") + ) + (segment + (start 115.34 50.501028) + (end 115.34 66.789028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "22c5e8a6-ad80-405c-8ff9-1d29db64b86e") + ) + (segment + (start 124.34 67.789028) + (end 122 70.129028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "5035dfd8-dd74-4922-937a-93cb62db4ed5") + ) + (segment + (start 122 83.737028) + (end 124.34 86.077028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "a315daba-36f3-4b53-84ac-7ea2bcbe9b7c") + ) + (segment + (start 115.34 66.789028) + (end 114.34 67.789028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "d4af1e6f-81f4-493a-80a8-96030ac0f3e9") + ) + (segment + (start 114.34 49.501028) + (end 115.34 50.501028) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "e6e54a17-b721-4c56-9375-9a9e4f10af32") + ) + (segment + (start 123.925 59.95) + (end 122 58.025) + (width 0.2) + (layer "F.Cu") + (net 6) + (uuid "2c843735-560d-45ce-b7e5-589f30f9999e") + ) + (segment + (start 128.525 59.95) + (end 123.925 59.95) + (width 0.2) + (layer "F.Cu") + (net 6) + (uuid "32be37d5-23f9-4562-bcf9-0b3905612485") + ) + (segment + (start 122 58.025) + (end 122 50.061028) + (width 0.2) + (layer "F.Cu") + (net 6) + (uuid "88649ee7-6608-4f1b-a88e-1baa41a346bc") + ) + (segment + (start 122 50.061028) + (end 119.34 47.401028) + (width 0.25) + (layer "F.Cu") + (net 6) + (uuid "cea1cf22-5a5c-4c33-bd67-4c41b6cd11f5") + ) + (via + (at 128.525 59.95) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 6) + (uuid "dd6e829d-10e9-4e82-b74a-58235684277e") + ) + (segment + (start 96.065 91.402028) + (end 96.437972 91.775) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "15ea9ded-4bd8-436a-924d-ebb9fc58dddd") + ) + (segment + (start 84.1 134.933312) + (end 88.075 130.958312) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "18f2199b-9dcd-4f7a-bf72-4e8be08be51f") + ) + (segment + (start 82.05 134.933312) + (end 84.1 134.933312) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1a2e1885-a356-4dff-8d71-a6ce65f0e45f") + ) + (segment + (start 96.425972 73.475) + (end 105.704028 73.475) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1c4acab8-a38c-4674-befc-8e4b1cdbaf5f") + ) + (segment + (start 130.15 56.105) + (end 132.76 53.495) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1d048804-1e76-4d30-9f47-887f02d444cf") + ) + (segment + (start 105.704028 73.475) + (end 106.065 73.114028) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "36b0d3c0-6373-449f-b5cc-fa50da9cf0f7") + ) + (segment + (start 130.15 61.1) + (end 130.15 56.105) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "3833ec78-6041-4a6b-aab7-e7b26bfa546d") + ) + (segment + (start 96.065 73.114028) + (end 96.425972 73.475) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "862df313-0b4f-445c-b701-c1b27ff923b9") + ) + (segment + (start 106.065 54.826028) + (end 108.4 57.161028) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "8cf5b8d2-743f-4bc9-b2c6-2c3143f44cf7") + ) + (segment + (start 108.4 61.225) + (end 108.775 61.6) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "8f722c78-72e5-4b42-8c9f-698f63c23ac0") + ) + (segment + (start 108.775 61.6) + (end 129.65 61.6) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a5829f8d-8841-46e0-baaa-dc7d1b3df97e") + ) + (segment + (start 105.591028 55.3) + (end 106.065 54.826028) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a99b0d14-f31c-4dcc-8d8d-8a1bae1ef2b1") + ) + (segment + (start 96.065 54.826028) + (end 96.538972 55.3) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "aa3e60e9-9d99-4d6a-94cb-eaff857aacc3") + ) + (segment + (start 129.65 61.6) + (end 130.15 61.1) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "affd115e-8038-4b1c-9444-96e8c339a775") + ) + (segment + (start 96.538972 55.3) + (end 105.591028 55.3) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "c8d41f0c-2aa9-407d-aa01-0ff9489cfdb2") + ) + (segment + (start 108.4 57.161028) + (end 108.4 61.225) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "edefaa4e-85c1-45e0-bb6f-48f59f0172d8") + ) + (segment + (start 78.075 130.958312) + (end 82.05 134.933312) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "f2c161a2-402c-4ee0-ae72-2f8a7094c877") + ) + (segment + (start 96.437972 91.775) + (end 106.35 91.775) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "fd4f376a-43c9-4239-b09a-eeccd2b6aec8") + ) + (segment + (start 96.065 73.114028) + (end 97.325 74.374028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "1c931a7d-fb5a-45bd-8d5a-ffccc9c48804") + ) + (segment + (start 97.325 74.374028) + (end 97.325 90.142028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "50d9d026-4872-44f6-bf46-c1c619f50ed1") + ) + (segment + (start 93.8 70.849028) + (end 96.065 73.114028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "528e24e6-9a35-4e8f-a301-178a8025c229") + ) + (segment + (start 97.325 90.142028) + (end 96.065 91.402028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "54e97914-55b4-4214-afb9-53eb47a12c7e") + ) + (segment + (start 101.168461 138.533312) + (end 96.5 138.533312) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "5521262b-cb9e-41b8-9cf2-20d0b4b252a0") + ) + (segment + (start 104.425 101.275) + (end 102.55 103.15) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "597681f8-bda6-4ade-9389-d190d2f2e472") + ) + (segment + (start 93.8 57.091028) + (end 93.8 70.849028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "67b84aa3-cfa2-4136-99fe-f92f94581d57") + ) + (segment + (start 102.55 103.15) + (end 102.55 137.151773) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "74316ac1-3d5a-44da-81f1-1bedc746a4d0") + ) + (segment + (start 96.065 54.826028) + (end 93.8 57.091028) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "891dacb5-a060-402e-ba04-f9854080027f") + ) + (segment + (start 106.065 91.402028) + (end 106.065 92.785) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "b59b847b-2bff-48c9-81ad-44a98ce74b69") + ) + (segment + (start 104.425 94.425) + (end 104.425 101.275) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "c0c84283-d10c-4f70-af91-69f15de1b4a4") + ) + (segment + (start 106.065 92.785) + (end 104.425 94.425) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "e96cebbb-caf4-4029-98c6-827e053bc568") + ) + (segment + (start 88.925 130.958312) + (end 88.075 130.958312) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "f239f00d-b00a-4dd9-a1b1-174c1d14dbb2") + ) + (segment + (start 96.5 138.533312) + (end 88.925 130.958312) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "f8d4ac22-7250-4506-941b-20efd139dbd2") + ) + (arc + (start 102.55 137.151773) + (mid 102.145357 138.128669) + (end 101.168461 138.533312) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "1857cefa-62c6-4fb9-a5bc-446946b431d2") + ) + (segment + (start 107.95 52.25) + (end 101.541028 52.25) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "667b6289-2f7f-4f18-aa8e-a76ef36f1d89") + ) + (segment + (start 110.2 59.85) + (end 110.2 54.5) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "7973e5f0-8a33-425f-9412-4d446d35c357") + ) + (segment + (start 110.2 54.5) + (end 107.95 52.25) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "83edc958-86f0-4d47-b27d-1ddc22e7cc0a") + ) + (segment + (start 101.541028 52.25) + (end 101.065 52.726028) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "ba752927-8817-4f68-af53-be0ae51ba9a3") + ) + (segment + (start 110.125 59.925) + (end 110.2 59.85) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "df5b419e-30fe-4a0e-bbe0-34f3af10d451") + ) + (via + (at 110.125 59.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "d76f9d87-825b-4512-943c-0041d3321caf") + ) + (segment + (start 91.975 54.325) + (end 90.225 52.575) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "146c40ac-32f0-42ee-8a3b-5f7c69aeb15d") + ) + (segment + (start 84.717028 52.575) + (end 82.84 54.452028) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "4a70c302-faa8-48ce-883e-e0045ab5d12a") + ) + (segment + (start 91.925 59.875) + (end 91.975 59.825) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "59378b96-cca7-4048-85da-849e07a10a3e") + ) + (segment + (start 91.975 59.825) + (end 91.975 54.325) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "9aa692bb-c81c-4604-b969-df6325b98889") + ) + (segment + (start 90.225 52.575) + (end 84.717028 52.575) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "9b963dd7-943a-44a5-85d5-d509fd384a44") + ) + (via + (at 91.925 59.875) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 9) + (uuid "9477716d-c2b3-441e-b1d6-8a59ab37aa49") + ) + (segment + (start 164.75 92.025) + (end 164.75 83.95) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "65639513-f099-4b51-9957-c1861117da40") + ) + (segment + (start 158.380028 81.775) + (end 155.765 84.390028) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "a1bc30eb-dd72-4a7f-89e2-03289e5a1de0") + ) + (segment + (start 162.575 81.775) + (end 158.380028 81.775) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "ab3c777d-9307-442c-8551-2f9f15461360") + ) + (segment + (start 164.75 83.95) + (end 162.575 81.775) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "f10db50b-c6d9-4767-b2b8-3ee0772df3f6") + ) + (via + (at 164.75 92.025) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 10) + (uuid "ee1f4d71-0725-43f1-bf25-183d2805332d") + ) + (segment + (start 143.7 73.675) + (end 139 73.675) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "0fc25792-34bf-4f9a-a7e1-f2fdba01c0bc") + ) + (segment + (start 137.565 75.11) + (end 137.565 76.427028) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "25e53d52-d1c8-4813-9634-ea346533821b") + ) + (segment + (start 139 73.675) + (end 137.565 75.11) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "4453f143-1fad-4666-ad44-45c65c9997da") + ) + (segment + (start 146.45 76.425) + (end 143.7 73.675) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "80af3902-eeff-4ba1-b581-d2fecd6505c5") + ) + (segment + (start 146.45 87.7) + (end 146.45 76.425) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "cfc449d8-a60d-4256-a66e-87cff49fd0dd") + ) + (via + (at 146.45 87.7) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "c048e179-23b4-4c02-90fd-8ea7847db4bb") + ) + (segment + (start 128.5 73.95) + (end 128.575 73.875) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "2153a7ac-c2ce-4c8a-b0c9-511c4520da53") + ) + (segment + (start 128.575 73.875) + (end 128.575 68.375) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "75afb90e-981a-4f01-80eb-e9ff793d414c") + ) + (segment + (start 128.575 68.375) + (end 125.55 65.35) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "7ed86257-5b9a-4cfc-be87-b29a8bc0d9b8") + ) + (segment + (start 125.55 65.35) + (end 119.679028 65.35) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "843084ad-32f2-4689-ae44-bee822c367ba") + ) + (segment + (start 119.679028 65.35) + (end 119.34 65.689028) + (width 0.2) + (layer "F.Cu") + (net 12) + (uuid "b4ad97a3-d1fb-40dd-bc0a-1c37ce91f900") + ) + (via + (at 128.5 73.95) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 12) + (uuid "7b0b62f9-1295-4749-9adc-c45adb888f6c") + ) + (segment + (start 110.225 77.475) + (end 110.2 77.45) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "23f55155-e9f6-40fd-a82c-0f3178aa080a") + ) + (segment + (start 107.7 68.85) + (end 103.229028 68.85) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "3654ce5d-c194-46df-9971-72b13f9ef681") + ) + (segment + (start 110.2 77.45) + (end 110.2 71.35) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "75f4068a-4ed8-46a1-915f-6149aaf6af8e") + ) + (segment + (start 103.229028 68.85) + (end 101.065 71.014028) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "aa03cc7b-ab3d-4041-90ab-0e1b1b867a67") + ) + (segment + (start 110.2 71.35) + (end 107.7 68.85) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "ac082375-8f88-479b-a8dd-ef83af76b8a8") + ) + (via + (at 110.225 77.475) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 13) + (uuid "13f9775a-8c4e-424f-af08-185ca0d8f68f") + ) + (segment + (start 89.95 70.6) + (end 85.038028 70.6) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "30acbce1-0ae1-4b9a-8293-858492ac1f27") + ) + (segment + (start 85.038028 70.6) + (end 82.84 72.798028) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "55ccb593-4004-42f9-8325-207939a9234f") + ) + (segment + (start 91.9 72.55) + (end 89.95 70.6) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "5a03f546-16df-43b1-bc29-9bba04dd92c9") + ) + (segment + (start 91.9 77.725) + (end 91.9 72.55) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "c520bd45-100e-4452-931f-2a7f2de58afe") + ) + (via + (at 91.9 77.725) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 14) + (uuid "241fbc9d-da58-4208-9b40-f9bcd301ca47") + ) + (segment + (start 162.325 100.475) + (end 158.217028 100.475) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "53b88a13-e325-4aef-b51f-843b81315858") + ) + (segment + (start 158.217028 100.475) + (end 155.765 102.927028) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "c270a6c7-c8c5-4283-a449-b6e552056e19") + ) + (segment + (start 164.95 109.625) + (end 164.95 103.1) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "df1267da-e981-427f-a7ce-f44aff9c741c") + ) + (segment + (start 164.95 103.1) + (end 162.325 100.475) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "e5c1ad83-cac2-42da-86b7-5c346407009a") + ) + (via + (at 164.95 109.625) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 15) + (uuid "549b1b99-f72d-40dc-b651-4a21401b275d") + ) + (segment + (start 144.2 92.65) + (end 140.011028 92.65) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "0cd7e1a1-6939-47b3-8cb9-2182c6fd116f") + ) + (segment + (start 146.625 95.075) + (end 144.2 92.65) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "1a98c787-f0b1-4c2d-9623-c14eaf4219d0") + ) + (segment + (start 146.625 104.375) + (end 146.625 95.075) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "270a4714-1e35-4b2e-a3b4-23cd6426c5b4") + ) + (segment + (start 140.011028 92.65) + (end 137.565 95.096028) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "deaa901d-1b0a-4112-9dc0-424b35f80afa") + ) + (via + (at 146.625 104.375) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 16) + (uuid "275f2df8-24f8-4420-8428-0dc1c968a255") + ) + (segment + (start 103.167028 87.2) + (end 101.065 89.302028) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "3d443cc5-3419-4c97-ba66-2c23f377443d") + ) + (segment + (start 110.1 95.975) + (end 110.125 95.95) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "446e8e20-2599-4ed7-97de-1967ced252ef") + ) + (segment + (start 110.125 89.4) + (end 107.925 87.2) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "617a85be-b697-4e56-9dac-2652a0e0a42e") + ) + (segment + (start 107.925 87.2) + (end 103.167028 87.2) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "b380de70-99bd-4788-bd6a-9f99fe5e63fc") + ) + (segment + (start 110.125 95.95) + (end 110.125 89.4) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "fe5b213d-ba46-4110-b46b-d805a907a58d") + ) + (via + (at 110.1 95.975) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 18) + (uuid "a880453b-2068-48ca-8f5e-6d79b1040bf9") + ) + (segment + (start 91.825 91.15) + (end 89.525 88.85) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "444132d9-5760-418d-a058-c2b76fb35973") + ) + (segment + (start 91.825 96.075) + (end 91.825 91.15) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "57172d7d-11d1-4148-b331-5c3bb1eec035") + ) + (segment + (start 85.076028 88.85) + (end 82.84 91.086028) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "71ee2874-2538-406e-bfef-85e2ddba9d8c") + ) + (segment + (start 89.525 88.85) + (end 85.076028 88.85) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "e8350aa3-eb61-4db9-a6b0-d3a07ebb33da") + ) + (via + (at 91.825 96.075) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 19) + (uuid "bdc223b7-af79-4e04-9c4d-be8526ec9eb1") + ) + (segment + (start 80.05 123.933312) + (end 80.05 129.358312) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "0d343244-41b0-4ff2-a139-36d28a5a677a") + ) + (segment + (start 81.433158 122.524659) + (end 81.433158 122.550154) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "0e38cb1e-c8a3-4fa1-908a-10e034da06c0") + ) + (segment + (start 81.433158 122.524659) + (end 81.433158 122.525537) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "2769fd1a-f7d0-4545-850d-8d6ba09b979d") + ) + (segment + (start 83.075 132.383312) + (end 83.075 133.058312) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "3ce606fb-3436-4798-9835-6ff9ea6dd8f3") + ) + (segment + (start 81.433158 122.525537) + (end 81.437409 122.529788) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "50efc4bf-3968-4326-a515-ea3e41ee6300") + ) + (segment + (start 80.05 129.358312) + (end 83.075 132.383312) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "767369f5-ec39-4ec6-b17f-df8957798fa7") + ) + (segment + (start 81.433158 122.550154) + (end 80.05 123.933312) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "8cf12a56-c951-4d76-a928-6f367f76ec1c") + ) + (via + (at 81.437409 122.529788) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 20) + (uuid "09172fcc-00fb-4a4d-856b-23aa0dcdb02f") + ) + (segment + (start 60.678653 122.304659) + (end 59.3 123.683312) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "393e79d7-8d05-4a41-960d-985a9808fe98") + ) + (segment + (start 62.283158 132.46647) + (end 62.283158 133.014659) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "6a58fc7f-3938-4872-ba82-cdc2e7048b8d") + ) + (segment + (start 59.3 129.483312) + (end 62.283158 132.46647) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "70e4ac0d-9352-4d25-bf84-b620d8224e67") + ) + (segment + (start 59.3 123.683312) + (end 59.3 129.483312) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "897c2e8f-e6d0-455e-a873-6cd209f21765") + ) + (segment + (start 60.693158 122.304659) + (end 60.678653 122.304659) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "e436775a-8c6f-4dd7-a5d4-f08bdaf2dba2") + ) + (via + (at 60.693158 122.304659) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 21) + (uuid "02c88ca5-c068-4899-9727-335839e3ad12") + ) + (segment + (start 166.175 65.7) + (end 159.025 58.55) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "13766f62-80c1-4b08-8e8d-42e86cc31088") + ) + (segment + (start 129.4 65.35) + (end 132.975 68.925) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "14877d5a-36f8-4732-b034-509fed826228") + ) + (segment + (start 164.675 77.2) + (end 165.65 76.225) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "19313492-58c3-4cce-8302-63ec84cefaaf") + ) + (segment + (start 129.4 64.125) + (end 129.4 65.35) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "2538c798-4f8a-4bae-a241-78d03c6af5a6") + ) + (segment + (start 147.975 58.55) + (end 143.273553 53.848553) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "29ca60eb-ccb0-47e5-81f5-bb99c8164257") + ) + (segment + (start 106.675 66.675) + (end 110.125 63.225) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "6415e3ab-c63f-42ed-bcd9-a3f654f67b63") + ) + (segment + (start 150.025 77.2) + (end 146.65 73.825) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "6697d953-60b0-4eb7-9ddd-19bb85e52273") + ) + (segment + (start 159.025 58.55) + (end 147.975 58.55) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "6777ec7d-bdf9-4669-ae6f-595042d3f8a6") + ) + (segment + (start 146.65 73.825) + (end 146.65 68.925) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "78d34047-963a-4cd7-ab7d-95a6ed04906b") + ) + (segment + (start 128.525 63.25) + (end 129.4 64.125) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "85eeb086-fc79-4299-9aec-36f7dc1aa225") + ) + (segment + (start 91.925 63.175) + (end 95.425 66.675) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "96570efd-e514-4f90-bff9-6eba146230a5") + ) + (segment + (start 164.675 77.2) + (end 150.025 77.2) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "a69a9fb4-8e6d-43c4-98c0-89a360cab09d") + ) + (segment + (start 95.425 66.675) + (end 106.675 66.675) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "bc5e01c4-e650-43fc-9cd6-784b12a98ea8") + ) + (segment + (start 166.175 75.7) + (end 166.175 65.7) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "c628bd60-efa1-40a5-b4a0-24e0422e3099") + ) + (segment + (start 132.975 68.925) + (end 146.65 68.925) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "c85c0575-0a58-42d8-a89d-0d64ba033fd7") + ) + (segment + (start 111.45 63.225) + (end 111.475 63.25) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "dac02ac2-7aae-4bc0-98e7-1dd22eb9828d") + ) + (segment + (start 110.125 63.225) + (end 111.45 63.225) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "db0024a7-120e-4ee7-9e49-2d257fce8d33") + ) + (segment + (start 164.675 77.2) + (end 166.175 75.7) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "dc3a12de-83be-431d-b21f-bc2df9f57b8a") + ) + (segment + (start 111.475 63.25) + (end 128.525 63.25) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "df2951e4-581e-42c5-9021-178c22720511") + ) + (via + (at 128.525 63.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 22) + (uuid "085323dd-b67b-483c-b889-ece8f3a2e169") + ) + (via + (at 146.65 68.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 22) + (uuid "326a5999-78e1-402c-a16e-6e6f09337023") + ) + (via + (at 110.125 63.225) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 22) + (uuid "4b86ca59-ebaa-466a-8779-b33912a77048") + ) + (via + (at 164.675 77.2) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 22) + (uuid "779bdf2e-ed7b-4554-a8b4-44b35469602a") + ) + (via + (at 91.925 63.175) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 22) + (uuid "e3e6debc-9bf5-4dbd-babc-091542b6c9dd") + ) + (segment + (start 126.1 74.85) + (end 128.5 77.25) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "03ba534b-19fc-4a4c-8485-76ce234688f8") + ) + (segment + (start 164.75 95.325) + (end 164.75 94.125) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "06314d24-d3af-4327-a02b-0e5a8a0891cf") + ) + (segment + (start 132.7 85.85) + (end 141.3 85.85) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "0728a952-b369-4d8c-9681-fd86f0ba0ad7") + ) + (segment + (start 96 85.125) + (end 91.9 81.025) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "113ff416-c0b1-4e99-9778-c2a17268ee2b") + ) + (segment + (start 116.15 74.85) + (end 126.1 74.85) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "18b855d1-3c63-4cca-baba-7d479bbb823c") + ) + (segment + (start 150.775 95.325) + (end 164.75 95.325) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "19ae12ac-4750-45dc-b6b7-8683f335b679") + ) + (segment + (start 146.45 91) + (end 150.775 95.325) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "31aff888-87c4-4169-8ee3-a91a7918683e") + ) + (segment + (start 167.4 65.5) + (end 159.2 57.3) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "3ffc0b8d-43af-4819-8b8c-7dbbe0d11ed0") + ) + (segment + (start 164.75 94.125) + (end 167.4 91.475) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "6ab98ec1-049a-4a43-8e65-2cf254e4e36b") + ) + (segment + (start 110.225 80.775) + (end 116.15 74.85) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "7a79d1cd-153e-4190-8828-3dcda434de8e") + ) + (segment + (start 129.575 78.325) + (end 129.575 82.725) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "9657e55c-f061-432b-bda7-611f0c14fbd1") + ) + (segment + (start 110.225 80.775) + (end 105.875 85.125) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "bc40e703-a0c2-42b2-9bd6-3766e7ea4e7d") + ) + (segment + (start 159.2 57.3) + (end 149.265 57.3) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "bd3bdf00-217a-4e5d-9bf5-f562cb375a24") + ) + (segment + (start 105.875 85.125) + (end 96 85.125) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "d35a1438-86a0-400c-814f-74b8722ba163") + ) + (segment + (start 141.3 85.85) + (end 146.45 91) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "ddb5193a-255b-46b7-95b9-bfb2ed49c67a") + ) + (segment + (start 128.5 77.25) + (end 129.575 78.325) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "e5165dcc-fc9d-4440-a315-2e0175553125") + ) + (segment + (start 167.4 91.475) + (end 167.4 65.5) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "e8452fd4-caba-4b94-ac12-7f4b70ce13b9") + ) + (segment + (start 129.575 82.725) + (end 132.7 85.85) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "f6343195-fb29-4333-a60b-d119fbd6c465") + ) + (segment + (start 149.265 57.3) + (end 145.46 53.495) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "ffa8961e-f750-4f92-8242-0f472807730b") + ) + (via + (at 91.9 81.025) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 23) + (uuid "0d29c999-cf63-4c63-ac03-f6eb6f26a003") + ) + (via + (at 146.45 91) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 23) + (uuid "2afa1ba3-0faf-4ab3-a540-9315dc85e51f") + ) + (via + (at 110.225 80.775) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 23) + (uuid "7e601a4d-bf8f-436d-afc6-ae9b0305ef01") + ) + (via + (at 128.5 77.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 23) + (uuid "8e459ddd-24c7-4370-af0c-aa82d8e20a04") + ) + (via + (at 164.75 95.325) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 23) + (uuid "ac80cae4-da41-4cd9-9cd0-be24bc08d6e3") + ) + (segment + (start 146.925 107.675) + (end 146.625 107.675) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "02238845-7299-47f6-a18e-4b96348eb965") + ) + (segment + (start 141.55 103.825) + (end 130.775 103.825) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "0512227f-534b-4f36-82ff-d3d910c61ea0") + ) + (segment + (start 149.975 112.925) + (end 147.875 110.825) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "150d38e0-9361-42a3-9612-133479582821") + ) + (segment + (start 110.1 99.275) + (end 110 99.375) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "1bc6478c-635f-4a0e-a47e-8f19ee312350") + ) + (segment + (start 130.775 103.825) + (end 129.4 102.45) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "2440d85a-bb9f-4536-bd5b-e5c6ec7ddca5") + ) + (segment + (start 129.4 102.45) + (end 129.4 100.175) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "30330c37-b5c7-4f40-adf9-33b8bc83974c") + ) + (segment + (start 128.35 99.225) + (end 128.45 99.225) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "3a7b5156-4bfa-44a3-958c-beeac9c2de1a") + ) + (segment + (start 166.425 111.45) + (end 164.95 112.925) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "4190bc98-c671-4b3d-b00a-3b607212396d") + ) + (segment + (start 117.55 93.3) + (end 122.425 93.3) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "59c622b4-2ab3-4f18-b278-77ab8576f0b0") + ) + (segment + (start 129.4 100.175) + (end 128.45 99.225) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "61d698f2-1f78-4547-9011-6faa152cb9f0") + ) + (segment + (start 146.625 107.675) + (end 145.4 107.675) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "7102c95c-1500-4d79-a762-7c6b5eb709b6") + ) + (segment + (start 148 53.495) + (end 150.53 56.025) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "7c28f1ad-e8a8-47b3-8f50-7e38f996aa3f") + ) + (segment + (start 145.4 107.675) + (end 141.55 103.825) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "8631a85a-b436-4200-85de-2ea485f3c366") + ) + (segment + (start 147.875 108.625) + (end 146.925 107.675) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "952972af-b6ed-4233-bc21-79b5765f09b2") + ) + (segment + (start 110.1 99.275) + (end 111.575 99.275) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "9934afd3-a939-4e38-bbfd-af14e681d75e") + ) + (segment + (start 159.55 56.025) + (end 168.55 65.025) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "a64b5e5e-9682-49f9-bee3-8c689e96bcd5") + ) + (segment + (start 164.95 112.925) + (end 149.975 112.925) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "b1089faa-76ea-4b04-9c2e-8346a0c5a76d") + ) + (segment + (start 168.55 65.025) + (end 168.55 92.225) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "ba305f97-555c-44ed-8e37-7678c777a1d9") + ) + (segment + (start 166.425 94.35) + (end 166.425 111.45) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "bc2c25be-3919-4545-b406-4e07474bde76") + ) + (segment + (start 122.425 93.3) + (end 128.35 99.225) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "c78f26ac-5afe-4ed7-8197-22fd166316f0") + ) + (segment + (start 128.45 99.225) + (end 128.4 99.275) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "d012297d-44b4-4380-a527-4d6ead3edadc") + ) + (segment + (start 111.575 99.275) + (end 117.55 93.3) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "d5968247-3b14-4836-a75d-fba10f2c64e7") + ) + (segment + (start 110 99.375) + (end 91.825 99.375) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "d6999408-d945-4a0b-a128-1c2afc474fb0") + ) + (segment + (start 150.53 56.025) + (end 159.55 56.025) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "e7faf056-bdcf-428e-9787-e744a5dc0fa7") + ) + (segment + (start 168.55 92.225) + (end 166.425 94.35) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "f93b51e9-0cf4-439e-ae72-f59b9cb6c1ce") + ) + (segment + (start 147.875 110.825) + (end 147.875 108.625) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "fe176516-2044-4f6a-8de7-bc5ea45b362a") + ) + (via + (at 164.95 112.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 24) + (uuid "213a3715-64ea-45a3-8ed8-b32e40d807d9") + ) + (via + (at 128.45 99.225) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 24) + (uuid "4f46d848-5185-4b27-83c7-e744f745158e") + ) + (via + (at 110.1 99.275) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 24) + (uuid "6e659fb8-bb7a-4be3-b547-9123c5996c86") + ) + (via + (at 146.625 107.675) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 24) + (uuid "7154771c-a153-431a-a429-042958da68c9") + ) + (via + (at 91.825 99.375) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 24) + (uuid "93849130-92a3-4314-98b6-1abb7351089d") + ) + (segment + (start 83.191807 120.983312) + (end 84.733156 122.524661) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "10e1c59a-a2c4-4202-bd3e-044bc46794f7") + ) + (segment + (start 109.325 50.225) + (end 116.6 42.95) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "142908cb-02b3-4aca-9d13-56fb0cdcd838") + ) + (segment + (start 66.421809 124.733312) + (end 76.975 124.733312) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "1ba3f60e-1934-447d-993d-85f4f7f50ffa") + ) + (segment + (start 124.9125 41.4125) + (end 128.07 38.255) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "2bac12be-2e1d-4675-8624-aadae46ce730") + ) + (segment + (start 84.733156 122.524661) + (end 84.733156 122.525539) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "2d485869-f5ab-4aa4-9a36-84775590df34") + ) + (segment + (start 116.6 42.95) + (end 123.075 42.95) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "342de84e-95b9-4ace-806d-c47023cea2a4") + ) + (segment + (start 97.325 102.025) + (end 88.775 102.025) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "370bf62b-a01e-4fd2-a16d-ab5aa77d1a4e") + ) + (segment + (start 84.733156 122.525539) + (end 84.737407 122.52979) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "3a02a049-b385-4e89-a12d-c670b24d9c8f") + ) + (segment + (start 75.15 98.025) + (end 75.15 56.15) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "41696bf9-bb24-4d44-93d3-3c62260d9ab0") + ) + (segment + (start 75.15 56.15) + (end 81.075 50.225) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "6473377e-dfcc-463d-a229-d4cf7c11a590") + ) + (segment + (start 63.993158 122.304661) + (end 66.421809 124.733312) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "8df031a6-75f9-4c8f-add4-7be43773b906") + ) + (segment + (start 76.9 99.775) + (end 75.15 98.025) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "925fa880-3858-4dc1-8691-45ab31fc536a") + ) + (segment + (start 86.525 99.775) + (end 76.9 99.775) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "a6d85843-aee9-41e8-9734-3a33501aaa46") + ) + (segment + (start 123.075 42.95) + (end 123.1 42.975) + (width 0.25) + (layer "F.Cu") + (net 25) + (uuid "d5f940e9-949b-491f-8c92-6c36a38dd612") + ) + (segment + (start 128.07 38.255) + (end 130.22 38.255) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "e7e77a2e-1aa3-4c18-a5a2-85aec0ce0700") + ) + (segment + (start 80.725 120.983312) + (end 83.191807 120.983312) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "ea2cfc73-0f33-447c-b282-1724953c920a") + ) + (segment + (start 88.775 102.025) + (end 86.525 99.775) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "f81921f1-a115-48fe-94e3-28e4afa0b0f3") + ) + (segment + (start 76.975 124.733312) + (end 80.725 120.983312) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "fb2749b7-8e71-4a89-b34e-2029dd3f1162") + ) + (segment + (start 98 102.7) + (end 97.325 102.025) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "fcfe28a0-e504-4057-acae-004913aa5747") + ) + (segment + (start 81.075 50.225) + (end 109.325 50.225) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "ff0a5f21-c4c1-4f27-aad9-e83f608e9c8b") + ) + (via + (at 123.1 42.975) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 25) + (uuid "19ed3e1e-60c2-4308-9156-9bffe8d58c9d") + ) + (via + (at 63.993158 122.304661) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 25) + (uuid "6fb411e6-fa6c-4d68-9ff4-e5bf80b9bc4d") + ) + (via + (at 124.9125 41.4125) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 25) + (uuid "73163522-ecec-45a2-a362-7d723fbee54d") + ) + (via + (at 84.737407 122.52979) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 25) + (uuid "7859fe70-2219-4e5e-921c-fb27d9b26dd3") + ) + (via + (at 98 102.7) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 25) + (uuid "8e1a36f8-9bf4-4dc0-9acd-a2aa80718c27") + ) + (segment + (start 101.55 106.25) + (end 101.55 135.8) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "014dbf94-092b-412a-b3bd-3fd06ef378d8") + ) + (segment + (start 97.525 137.358312) + (end 90.8 130.633312) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "0edef4b4-e18b-40c5-9300-9fb99d737c46") + ) + (segment + (start 99.991688 137.358312) + (end 97.525 137.358312) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "342d5b06-2d24-40f0-8d40-9a5ae820eae9") + ) + (segment + (start 85.67889 122.52979) + (end 84.737407 122.52979) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "45e7ee17-8c81-436f-ac3d-616f67eaf8a2") + ) + (segment + (start 90.8 130.633312) + (end 90.8 127.683312) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "5edd99c3-80d0-4ca0-8ace-dc12204e8ea5") + ) + (segment + (start 89.8 126.683312) + (end 89.8 126.6509) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "61fdfadf-48c9-44cb-ba76-7495aee079e0") + ) + (segment + (start 124.8875 41.4125) + (end 123.325 42.975) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "6f0713a2-4e2d-4ad0-8436-5deba70f4939") + ) + (segment + (start 98 102.7) + (end 101.55 106.25) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "86ec6880-5140-4ca4-8602-7526a7fb3e3b") + ) + (segment + (start 89.8 126.6509) + (end 85.67889 122.52979) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "ad989467-241c-458a-973f-5d6fc7a6013d") + ) + (segment + (start 124.9125 41.4125) + (end 124.8875 41.4125) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "cb08d53d-5acd-46df-a7f7-32ddb32827f0") + ) + (segment + (start 123.325 42.975) + (end 123.1 42.975) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "d690e894-b51a-43ac-b79d-010a1c446538") + ) + (segment + (start 90.8 127.683312) + (end 89.8 126.683312) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "f02cc0ed-3641-4eb4-84b0-951a46a01907") + ) + (arc + (start 101.55 135.8) + (mid 101.093581 136.901893) + (end 99.991688 137.358312) + (width 0.2) + (layer "B.Cu") + (net 25) + (uuid "4bbffe8b-4ac2-48c5-80d7-58382428ceb1") + ) + (segment + (start 170.825 90.1) + (end 170.525 90.4) + (width 0.4064) + (layer "F.Cu") + (net 26) + (uuid "281dd5e8-6e87-4349-a071-60e5b4eeb641") + ) + (segment + (start 170.825 69.825) + (end 170.825 90.1) + (width 0.4064) + (layer "F.Cu") + (net 26) + (uuid "3604db2c-b320-4000-acd2-51cb799ff0f6") + ) + (segment + (start 170.525 69.525) + (end 170.825 69.825) + (width 0.4064) + (layer "F.Cu") + (net 26) + (uuid "ebbb0d25-b958-4880-87e8-fba6ead73876") + ) + (via + (at 170.525 69.525) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 26) + (uuid "18039ee3-3997-4bb9-a12c-11bce45f0a46") + ) + (segment + (start 162.1 54.3) + (end 162.1 39.655) + (width 0.4064) + (layer "B.Cu") + (net 26) + (uuid "33865cfb-517d-4534-b20f-5151b1901cfa") + ) + (segment + (start 170.525 69.525) + (end 170.525 62.725) + (width 0.4064) + (layer "B.Cu") + (net 26) + (uuid "4ad8c4ba-81fc-4ec1-af13-b050018beb2b") + ) + (segment + (start 170.525 62.725) + (end 162.1 54.3) + (width 0.4064) + (layer "B.Cu") + (net 26) + (uuid "8db3dde8-9038-4258-8f85-5cb2291afe66") + ) + (segment + (start 162.1 39.655) + (end 160.7 38.255) + (width 0.4064) + (layer "B.Cu") + (net 26) + (uuid "d906da0b-d5de-4f7f-ad0e-4e6d5d73ceb8") + ) + (segment + (start 174.022452 67.922452) + (end 174.488835 67.922452) + (width 0.4064) + (layer "F.Cu") + (net 27) + (uuid "075eb1ba-c43f-4513-8bc9-03745d9b0d24") + ) + (segment + (start 172.525 90.4) + (end 172.525 72.886289) + (width 0.4064) + (layer "F.Cu") + (net 27) + (uuid "0f4a8ac4-d742-41de-8e88-95dc65e0fc54") + ) + (segment + (start 172.525 72.886289) + (end 174.488837 70.922452) + (width 0.4064) + (layer "F.Cu") + (net 27) + (uuid "24b54d7b-fb53-49a0-ac80-cb69bbbc138d") + ) + (segment + (start 158.16 53.495) + (end 159.595 53.495) + (width 0.4064) + (layer "F.Cu") + (net 27) + (uuid "9cbec75c-1f46-4dc9-9185-03d5f5d1bf86") + ) + (segment + (start 159.595 53.495) + (end 174.022452 67.922452) + (width 0.4064) + (layer "F.Cu") + (net 27) + (uuid "b3fa46fd-288e-4f50-9955-8a2c522de941") + ) + (segment + (start 149.74 43.685) + (end 149.739999 43.685) + (width 0.25) + (layer "F.Cu") + (net 29) + (uuid "5af81f7f-b3bd-44f4-a6a4-6810dd9faf4a") + ) + (segment + (start 156.74 43.685) + (end 156.74 43.684997) + (width 0.25) + (layer "F.Cu") + (net 29) + (uuid "f54e431a-b640-47af-9713-d1cad6d7d406") + ) + (via + (at 149.739999 43.685) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 29) + (uuid "88f9102c-8717-4592-8ff8-92c5f5c01455") + ) + (via + (at 156.74 43.684997) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 29) + (uuid "a4827573-6a8d-498f-a8c3-a8c9a1eae2e0") + ) + (segment + (start 158.275 50.84) + (end 155.62 53.495) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "39fff046-93f0-4aa4-b139-e8782c9244b1") + ) + (segment + (start 156.74 43.684997) + (end 158.275 45.219997) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "58315f13-f73a-444d-8066-dfb4dac6bcdb") + ) + (segment + (start 155.299997 45.125) + (end 156.74 43.684997) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "c5a0fd2d-66e8-422b-8d18-b9673f5dde17") + ) + (segment + (start 158.275 45.219997) + (end 158.275 50.84) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "c9cbdc2d-bc4d-4ce0-87a2-d459d41a5309") + ) + (segment + (start 149.739999 43.685) + (end 151.179999 45.125) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "d601b9c5-841c-4e42-bd04-eb69cc449a62") + ) + (segment + (start 151.179999 45.125) + (end 155.299997 45.125) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "f249c6e7-db8b-422e-809a-bc44b47fdf9a") + ) + (segment + (start 149.74 48.185) + (end 149.74 48.185003) + (width 0.25) + (layer "F.Cu") + (net 30) + (uuid "1a5be618-9296-44c3-87cd-37b19a2cf3b2") + ) + (segment + (start 156.74 48.185) + (end 156.740001 48.185) + (width 0.25) + (layer "F.Cu") + (net 30) + (uuid "854e8c1d-3f75-4419-9fa5-9cba479d746d") + ) + (via + (at 156.740001 48.185) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 30) + (uuid "8925c998-566d-479d-8d15-eb41ab3b1d4c") + ) + (via + (at 149.74 48.185003) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 30) + (uuid "c1495922-b31d-4f37-8fde-dac96b130235") + ) + (segment + (start 151.125003 46.8) + (end 155.355001 46.8) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "51c9cbe6-c1f4-48de-a0ac-70c5658faaac") + ) + (segment + (start 155.355001 46.8) + (end 156.740001 48.185) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "55bdfd1e-3fe9-4d4e-a7f9-8730b414c236") + ) + (segment + (start 156.740001 50.315001) + (end 156.740001 48.185) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "6a346966-cb66-4eae-a07a-7bf9caa6206b") + ) + (segment + (start 153.08 53.495) + (end 155.15 51.425) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "6b238123-063e-425e-af3f-57d223c4820c") + ) + (segment + (start 155.15 51.425) + (end 156.15 51.425) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "6ea6a609-400a-409a-a466-430da341fe4d") + ) + (segment + (start 156.75 50.325) + (end 156.740001 50.315001) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "a1f9e78d-a567-4c62-a920-af820efde015") + ) + (segment + (start 156.75 50.825) + (end 156.75 50.325) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "a79e76b5-a3f9-4586-965f-7ea7b3814541") + ) + (segment + (start 156.15 51.425) + (end 156.75 50.825) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "e7bb29d8-9f42-4c41-9c75-73474153de9d") + ) + (segment + (start 149.74 48.185003) + (end 151.125003 46.8) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "f4213665-d342-46a1-9a73-c67a82e95c43") + ) + (segment + (start 137.3 35.175) + (end 125.125 35.175) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "009a7124-13ea-45a8-be01-35b4e30ad4b6") + ) + (segment + (start 109.15 42.025) + (end 106.075 45.1) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "1f079a5a-2de8-49aa-b8ef-15436eb48149") + ) + (segment + (start 59.909276 62.234276) + (end 61.699334 62.234276) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "1fa93b70-1319-456c-83cb-fc340af7761f") + ) + (segment + (start 114.25 37.375) + (end 109.6 42.025) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "35812ddb-2c2c-4387-a830-c597239065bc") + ) + (segment + (start 125.125 35.175) + (end 122.925 37.375) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "3ed2bc0c-772b-4263-b028-b05de9ec0888") + ) + (segment + (start 62.959276 62.234276) + (end 61.699334 62.234276) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "561d0c46-e457-48ef-bc41-d650bf8adbea") + ) + (segment + (start 122.925 37.375) + (end 114.25 37.375) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "574f8437-656d-4956-b04b-379b16ee6b53") + ) + (segment + (start 106.075 45.1) + (end 68.35 45.1) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "661f0b40-9812-4541-abda-ac1e543eb122") + ) + (segment + (start 61.699333 65.234276) + (end 63.165724 65.234276) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "66757c79-6587-4258-8395-1f4d94570211") + ) + (segment + (start 63.165724 65.234276) + (end 63.6 64.8) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "7ae9c5dd-6314-4928-86e8-567d58934132") + ) + (segment + (start 58.075 55.375) + (end 58.075 59.75) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "9a4f3ac2-2914-483f-9505-716634fd999c") + ) + (segment + (start 68.35 45.1) + (end 58.075 55.375) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "9febf916-3159-4618-8690-93cb25b4aa63") + ) + (segment + (start 58.075 60.4) + (end 59.909276 62.234276) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "a799dc56-3842-4c04-881a-0614ac369d8c") + ) + (segment + (start 58.075 59.75) + (end 58.075 60.4) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "b3b3919e-3270-4616-a4ff-61b2addff3c1") + ) + (segment + (start 63.6 64.8) + (end 63.6 62.875) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "c93a280e-b0e4-4145-81c6-09a5e9a5014a") + ) + (segment + (start 140.38 38.255) + (end 137.3 35.175) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "d358fcea-045b-44a2-ae75-bac2d0a00f67") + ) + (segment + (start 109.6 42.025) + (end 109.15 42.025) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "d860f99d-7bc6-48e2-8494-22a9cc5eb0ae") + ) + (segment + (start 63.6 62.875) + (end 62.959276 62.234276) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "f3d9bc99-c52f-4f9c-b615-45e7b63cc499") + ) + (via + (at 58.075 59.75) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 32) + (uuid "369ce5e5-3053-4e9f-b072-cbfb22347e54") + ) + (segment + (start 61.71 62.234999) + (end 62.96 62.234999) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "18209d06-ca05-4596-ac2a-ab019cdfb332") + ) + (segment + (start 58.075 60.4) + (end 58.075 59.75) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "622b93c5-f600-470d-b9f7-3c93ea9c06be") + ) + (segment + (start 59.909999 62.234999) + (end 58.075 60.4) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "6fe054e2-229a-461d-93d3-aa3267c05d36") + ) + (segment + (start 63.164999 65.235001) + (end 61.710001 65.235001) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "a0dd5b55-15bc-49b8-97f5-efe1b2c2dcb7") + ) + (segment + (start 63.6 64.8) + (end 63.164999 65.235001) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "bd66466a-9d17-4645-82a5-30f16fd1559b") + ) + (segment + (start 63.6 62.874999) + (end 63.6 64.8) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "d56a29f0-eccf-4f34-9572-f4e9775d9ffd") + ) + (segment + (start 61.71 62.234999) + (end 59.909999 62.234999) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "ea28bd51-2646-4225-8eb9-4404ea6b7472") + ) + (segment + (start 62.96 62.234999) + (end 63.6 62.874999) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "f86d0bf2-9f3b-4e6e-932c-0e6a33cc8376") + ) + (segment + (start 128.45 84.45) + (end 125.675 81.675) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "6abe72ac-e181-49ca-874d-ae731064adf2") + ) + (segment + (start 128.45 95.925) + (end 128.45 84.45) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "c4ceb854-d263-46e1-8451-8bce4f9bfa2d") + ) + (segment + (start 121.642028 81.675) + (end 119.34 83.977028) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "c57e27a9-346d-4f5e-a342-155096960a07") + ) + (segment + (start 125.675 81.675) + (end 121.642028 81.675) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "d3d6f95c-7ee8-43fb-9fd6-d2e4cf77da19") + ) + (via + (at 128.45 95.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "3528b32d-a2ab-48ec-ae31-a43bb419b243") + ) + (segment + (start 109.975 51.125) + (end 117 44.1) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "0264e1bd-cbd6-450e-a331-1735d7b81d41") + ) + (segment + (start 133.53 51.725) + (end 135.3 53.495) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "06647005-f652-4d4b-b219-f65edc56fc1e") + ) + (segment + (start 89.125 133.108312) + (end 85.775 136.458312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "0f6ec6f6-613b-40f4-9543-781bad4ea908") + ) + (segment + (start 100.532459 137.933312) + (end 96.994544 137.933312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "12290925-6227-4ca3-ba39-c17946c0c148") + ) + (segment + (start 86.025 78.325) + (end 87.84 76.51) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "177665a0-f892-4da4-90c7-7731a8f05e9a") + ) + (segment + (start 87.84 93.186028) + (end 87.84 94.635) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "21f79d69-91be-427e-a109-0ccbf7b2eeba") + ) + (segment + (start 77.84 55.985) + (end 82.325 51.5) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "222bb170-a1df-4feb-84bb-7e9e3617b0fe") + ) + (segment + (start 78.262972 56.975) + (end 87.417028 56.975) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "2aeeab47-2f77-4d4c-8f64-d9f277fc5cff") + ) + (segment + (start 89.175 100.825) + (end 99.875 100.825) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "2bf8bff3-2158-4544-8baf-89da35991fab") + ) + (segment + (start 77.84 56.552028) + (end 77.84 55.985) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "2f902188-5d6a-4cc1-bc04-e79473605f82") + ) + (segment + (start 60.875 134.833312) + (end 63.85 134.833312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "3aea986f-e9c2-4ec0-b289-1b80eac977e1") + ) + (segment + (start 82.325 51.5) + (end 94.875 51.5) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "4be4d06e-7c36-43db-9c52-bf069e81883d") + ) + (segment + (start 102.05 103) + (end 102.05 136.415771) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "4e3cef51-3f10-44c2-911c-2e2a43b30f6b") + ) + (segment + (start 77.84 93.186028) + (end 78.003972 93.35) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "54d67b1b-e903-4749-8b63-2e3a272070ed") + ) + (segment + (start 77.84 56.552028) + (end 78.262972 56.975) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "694ea49d-3945-48ea-9518-a489114c676d") + ) + (segment + (start 87.84 76.51) + (end 87.84 74.898028) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "6b2cc63a-d654-479f-a638-544504e9c786") + ) + (segment + (start 77.84 91.41) + (end 86.025 83.225) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "6ca53d45-9dd1-462d-8584-ea2a9a3e898f") + ) + (segment + (start 86.275 97.925) + (end 89.175 100.825) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "6f468794-388c-427f-ac72-f085ee98c44b") + ) + (segment + (start 86.025 83.225) + (end 86.025 78.325) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "7250531e-d08d-4e58-b020-744b12c8d3c9") + ) + (segment + (start 87.84 94.635) + (end 86.275 96.2) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "790383e2-26a4-447b-9c44-c42f8d30a95b") + ) + (segment + (start 77.84 73.035) + (end 77.84 74.898028) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "7c4a66f2-6699-4ff9-8c8d-68d73ad1c43f") + ) + (segment + (start 77.84 74.898028) + (end 78.441972 75.5) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "7f7044c0-bdac-4c53-8f73-7668986548bf") + ) + (segment + (start 87.238028 75.5) + (end 87.84 74.898028) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "85e187c7-5b6c-4898-8bef-a0de544f2308") + ) + (segment + (start 63.85 134.833312) + (end 67.283159 131.400153) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "871c6ef8-f38a-4e24-98fc-ba1f348e9423") + ) + (segment + (start 57.283158 131.24147) + (end 60.875 134.833312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "8bc3dabe-d8f0-4acd-923c-e8cc85175bfb") + ) + (segment + (start 87.84 58.335) + (end 86.2 59.975) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "8e831af4-c1db-4c3d-b1b2-f689498384f8") + ) + (segment + (start 126.375 48.025) + (end 126.375 50.35) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "905982bd-eb6f-4505-9f25-3ed9e8b64d07") + ) + (segment + (start 86.275 96.2) + (end 86.275 97.925) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "93c59e27-3128-4b22-b90c-7d09edb5f45e") + ) + (segment + (start 87.417028 56.975) + (end 87.84 56.552028) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "947dda39-38c7-4b17-ba5d-f10bfb0690ff") + ) + (segment + (start 99.875 100.825) + (end 102.05 103) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "9a3fb621-61da-4a34-bf43-775494dbc331") + ) + (segment + (start 96.994544 137.933312) + (end 92.169544 133.108312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "a17dd9d9-876d-49d0-8dcb-d655e6dde415") + ) + (segment + (start 87.676028 93.35) + (end 87.84 93.186028) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "a2638cda-f6cf-4f7d-961d-0505ccbdc465") + ) + (segment + (start 122.45 44.1) + (end 126.375 48.025) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "aa75d389-3615-41d2-8c1c-4cbe193f72e1") + ) + (segment + (start 127.75 51.725) + (end 133.53 51.725) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "ab80d8a7-d8a7-4f34-9049-756085a7e5e9") + ) + (segment + (start 92.169544 133.108312) + (end 89.125 133.108312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "b9ddbc72-d5b3-49ce-b21f-160231c1b6e1") + ) + (segment + (start 117 44.1) + (end 122.45 44.1) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "bad01f0d-55fd-4e75-935c-4b3331b45aa1") + ) + (segment + (start 86.2 59.975) + (end 86.2 64.675) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "bcf10bd8-cfdc-4397-982b-d95aaa97a495") + ) + (segment + (start 78.003972 93.35) + (end 87.676028 93.35) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "c40962a7-594b-4ab2-ab10-1cc6bcbb25de") + ) + (segment + (start 68.864506 129.333312) + (end 67.283159 130.914659) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "c78efea7-dc19-44ef-bc3b-6a90dfb46115") + ) + (segment + (start 126.375 50.35) + (end 127.75 51.725) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "cbb8a812-5614-41e3-a5bd-122e6234ff3f") + ) + (segment + (start 87.84 56.552028) + (end 87.84 58.335) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "cbd5f493-3c07-498e-9b8e-add5f84771fc") + ) + (segment + (start 57.283158 130.914659) + (end 57.283158 131.24147) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "da6644a0-635d-41ee-8504-69f122c3be2b") + ) + (segment + (start 78.441972 75.5) + (end 87.238028 75.5) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "ddedb3e3-5881-4897-91ec-c984d6a625d5") + ) + (segment + (start 67.283159 131.400153) + (end 67.283159 130.914659) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "e1e70649-a99d-4c94-bf35-f34eb68e3f61") + ) + (segment + (start 95.25 51.125) + (end 109.975 51.125) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "e68c2e7c-4079-4778-941c-12e3f2d67d9e") + ) + (segment + (start 86.2 64.675) + (end 77.84 73.035) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "e76afb14-4400-496c-a141-cadd1d592787") + ) + (segment + (start 77.84 93.186028) + (end 77.84 91.41) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "eb84097d-a529-4eab-a3d5-5ecb4d280b7a") + ) + (segment + (start 94.875 51.5) + (end 95.25 51.125) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "ecf2a2f3-7d60-4ed5-aaa8-e904a0d6b476") + ) + (segment + (start 73.35 129.333312) + (end 68.864506 129.333312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "ef03a4b3-b21e-4b06-bc4f-885906e74bdd") + ) + (segment + (start 80.475 136.458312) + (end 73.35 129.333312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "f5668b57-86e3-47d9-8f4e-b110e221509a") + ) + (segment + (start 85.775 136.458312) + (end 80.475 136.458312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "fdde2db9-03ef-4d2a-94cb-6b6cca00e130") + ) + (arc + (start 102.05 136.415771) + (mid 101.605523 137.488835) + (end 100.532459 137.933312) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "ed357fef-157b-4844-8ef4-be494b977a43") + ) + (segment + (start 107.825001 40.024999) + (end 109.150001 40.024999) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "2be03651-9546-454d-9762-bb33da866d49") + ) + (segment + (start 59.615722 64.234278) + (end 59.6 64.25) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "3d750f27-ffa0-45fe-aecf-88e2688776a6") + ) + (segment + (start 59.590724 63.234276) + (end 59.575 63.25) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "963342fa-e83a-49bc-a69e-879b7eeb4ac2") + ) + (segment + (start 61.699334 64.234278) + (end 59.615722 64.234278) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "b8683cc0-cb47-4468-8f6e-bb0e54d3d863") + ) + (segment + (start 107.8 40.05) + (end 107.825001 40.024999) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "bd633b82-9cb4-4573-80bd-63a9819f802b") + ) + (segment + (start 61.699333 63.234276) + (end 59.590724 63.234276) + (width 0.4064) + (layer "F.Cu") + (net 39) + (uuid "bdcacea0-adf0-43bc-aff6-480398ba19fc") + ) + (via + (at 142.95 50) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "00cae4dd-b7e3-4e74-b397-03ec52ed89b0") + ) + (via + (at 136.475 89.175) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "0196d352-fa9b-4594-95f3-f4fc1ed49598") + ) + (via + (at 154.475 81.05) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "020b337a-b8e2-4f78-8780-5cb9029ebe02") + ) + (via + (at 133.175 43.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "0b4ce671-7a86-4821-9e85-63e237d9b160") + ) + (via + (at 149 69.875) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "11ef3a6a-ce18-4488-ba3c-bf479bb1d86f") + ) + (via + (at 70.55 64.05) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "18c6fae7-6365-4bad-874f-eeea5ad94ede") + ) + (via + (at 99.125 86.5) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "1b562d62-5ff7-4e06-8d02-6f45a787a568") + ) + (via + (at 140.375 43.125) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "2e2774e2-a4c7-4619-a421-9014cc425a5d") + ) + (via + (at 126.625 115.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "389b6e38-c189-44eb-84c4-fca6a6ff3a36") + ) + (via + (at 59.75 78.175) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "3a2bd1d7-3f71-40f2-88a6-78630fbddcf9") + ) + (via + (at 79.35 63.8) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "3d36adc9-7bea-49c1-b0ab-3e3150299df8") + ) + (via + (at 73.25 51.825) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "3e44804e-1920-40fc-8a64-b19f337e6762") + ) + (via + (at 116.3 108.725) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "3f914c55-c848-477a-bcee-7cbd0934f1ac") + ) + (via + (at 150.55 116.6) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "46e22294-3282-4137-bb3c-549020ee9638") + ) + (via + (at 121.95 47.7) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "50ce34d5-32de-4baf-91d5-0b0683486998") + ) + (via + (at 143.825 113.6) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "53673bca-cdfa-4259-9fdb-016a08e208ed") + ) + (via + (at 55.925 135.833312) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "581f16b0-a083-4ebe-b1af-b4f1e3455809") + ) + (via + (at 80.35 82.85) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "5b353ac0-2dd9-4589-899c-7d9bfeee12c3") + ) + (via + (at 98.275 68.375) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "60d77277-84b4-4d68-877e-0793f1ace13f") + ) + (via + (at 89.725 120.425) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "6216a779-c7ed-4f1d-a435-edfae973c5dc") + ) + (via + (at 72.275 80.325) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "65f1053f-de61-4cf9-8975-c4f67607f6ad") + ) + (via + (at 85.625 105.375) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "70afd90b-5c2b-4edd-8cc1-76c58ac53f98") + ) + (via + (at 71.975 101.35) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "7c687192-22a8-4a34-a72e-477648314033") + ) + (via + (at 138.525 71.875) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "7f040c0f-d606-4f30-a1af-327089cc7030") + ) + (via + (at 125.05 55.825) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "806c4b07-ffa4-42a5-ac4d-4269355062d7") + ) + (via + (at 59.575 63.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 39) + (uuid "83815818-b9a8-4e88-9f26-01498a474bca") + ) + (via + (at 105.225 61.15) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "83b5cd0e-6202-4ecf-9363-daa1a68c1302") + ) + (via + (at 59.6 64.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 39) + (uuid "8908d57c-e53c-47c6-8904-7f267a115f42") + ) + (via + (at 155.225 60.275) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "8abc31bf-d37c-45d7-8578-1d642929f6b7") + ) + (via + (at 73.025 126.983312) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "97d5e75e-0ef4-438d-835d-91ecbff974cf") + ) + (via + (at 156.65 98.775) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "a9e75e7f-8e57-410c-a65a-9fdad2271e10") + ) + (via + (at 119 60.125) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "add19e1a-c40a-45da-82c8-7bbc985ba670") + ) + (via + (at 107.8 40.05) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 39) + (uuid "b94ae055-ebfc-467d-ac72-897facaeae6e") + ) + (via + (at 137.35 66.85) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "bb8a2763-e587-44d6-99d5-d1315b25107f") + ) + (via + (at 89.075 86.15) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "bc256d07-9879-455d-96a4-dd240659fa4b") + ) + (via + (at 96.7 42.55) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "bc3a3c0e-f849-407c-83b4-eaaee31e68dc") + ) + (via + (at 158.925 74.7) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "c57b4adc-ef02-40d2-bf2c-e0a0ada9e3cf") + ) + (via + (at 58.35 94.375) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "db4239b2-b1ea-4682-8d86-524779b30be9") + ) + (via + (at 132.225 56.55) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "dfc28c8a-f410-438d-91af-d6a17ec41be1") + ) + (via + (at 117.25 75.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "ee95a2ed-7523-49d4-9bae-6e8daefcb218") + ) + (via + (at 169.65 112.8) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "f018002a-92b8-40d2-b1e3-6ab06e2b4aac") + ) + (via + (at 159.175 92.55) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "f75488dd-ebc1-4c9b-b28f-7ba4d1b93c98") + ) + (via + (at 54.7 121.033312) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (free yes) + (net 39) + (uuid "fffb4c82-30cc-459d-9214-b4a76e5fcec1") + ) + (segment + (start 61.71 64.235001) + (end 59.614999 64.235001) + (width 0.4064) + (layer "B.Cu") + (net 39) + (uuid "5eb893f2-173a-4054-a393-b16a6187f079") + ) + (segment + (start 61.71 63.235001) + (end 59.589999 63.235001) + (width 0.4064) + (layer "B.Cu") + (net 39) + (uuid "64995cef-f05d-47af-9d6f-9488a810ee0b") + ) + (segment + (start 59.589999 63.235001) + (end 59.575 63.25) + (width 0.4064) + (layer "B.Cu") + (net 39) + (uuid "8726115e-c218-488c-a71b-4044e1d525c1") + ) + (segment + (start 59.614999 64.235001) + (end 59.6 64.25) + (width 0.4064) + (layer "B.Cu") + (net 39) + (uuid "b3f574be-25e7-4126-9769-fa029445294e") + ) + (segment + (start 135.56 35.975) + (end 125.975 35.975) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "053891eb-6c2e-4e1c-a872-d6c450c19a76") + ) + (segment + (start 61.699333 60.234276) + (end 62.609276 60.234276) + (width 0.25) + (layer "F.Cu") + (net 41) + (uuid "062d7809-4b14-4bad-a7e9-504f0659bbf2") + ) + (segment + (start 114.849999 38.525) + (end 107.324999 46.05) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "40060745-6f05-4dd1-8d10-83aba40a5a0a") + ) + (segment + (start 125.975 35.975) + (end 123.425 38.525) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "4fb92afe-f487-4cb2-8090-0e9372c88688") + ) + (segment + (start 59.075 55.575) + (end 59.075 57.925) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "5603d503-cd2c-4c2a-8fb2-cea76ca7bf95") + ) + (segment + (start 62.609276 60.234276) + (end 64.425 62.05) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "5b55d9da-5aed-4f1f-ad65-5b2963778329") + ) + (segment + (start 62.715724 67.234276) + (end 61.699334 67.234276) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "60045c15-c8ed-4a93-9e1c-f07b06c4a9b4") + ) + (segment + (start 137.84 38.255) + (end 135.56 35.975) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "639e19d0-03d0-4175-9d7e-46f2b79ba263") + ) + (segment + (start 68.6 46.05) + (end 59.075 55.575) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "84786ec0-63d9-47fe-bf43-3d9acf0c82fd") + ) + (segment + (start 59.959276 60.234276) + (end 59.075 59.35) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "95d77cce-0244-453d-a702-c46310043caf") + ) + (segment + (start 123.425 38.525) + (end 114.849999 38.525) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "9f1e05fb-49da-485d-ac8e-d4871bf63100") + ) + (segment + (start 64.425 62.05) + (end 64.425 65.525) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "bf6472e4-d384-43c4-b7a1-af0d595bf2d6") + ) + (segment + (start 61.699333 60.234276) + (end 59.959276 60.234276) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "c0d54c74-1cf4-46c3-a579-2bb143b7b358") + ) + (segment + (start 107.324999 46.05) + (end 68.6 46.05) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "d7f0d2ae-574e-4dc2-bc7d-6ebecd042c47") + ) + (segment + (start 59.075 59.35) + (end 59.075 57.925) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "de7d8e2b-ae86-4b3b-befd-ffd5dcb67166") + ) + (segment + (start 64.425 65.525) + (end 62.715724 67.234276) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "ea09d06e-bf40-4ad8-9050-c8fdb418cc3e") + ) + (via + (at 59.075 57.925) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 41) + (uuid "5d938f9c-24c1-4b70-ba6b-7bc3ca326371") + ) + (segment + (start 64.425 65.525) + (end 62.715 67.235) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "09c40c40-f4a7-4a45-8597-42bbb5350850") + ) + (segment + (start 62.610001 60.235001) + (end 64.425 62.05) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "140eff8e-8fc1-43bf-853b-7d8c04d9fdf4") + ) + (segment + (start 59.935001 60.235001) + (end 59.075 59.375) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "2380fd70-ea44-4d3b-a1e9-afe4f16108aa") + ) + (segment + (start 61.710001 60.235001) + (end 59.935001 60.235001) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "561f6c96-7d8c-4b7e-9429-9b6adc1b4627") + ) + (segment + (start 59.075 59.375) + (end 59.075 57.925) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "898bad77-31ec-4457-9dec-8b78cc4977d1") + ) + (segment + (start 62.715 67.235) + (end 61.709999 67.235) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "95624837-a020-411d-85a3-d8870fc1e289") + ) + (segment + (start 61.710001 60.235001) + (end 62.610001 60.235001) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "d35e6a30-321a-4c12-afcb-d2bf5357c151") + ) + (segment + (start 64.425 62.05) + (end 64.425 65.525) + (width 0.2) + (layer "B.Cu") + (net 41) + (uuid "dd78a20f-ac57-4c33-92fb-d9e59e60d865") + ) + (segment + (start 61.699333 59.234278) + (end 62.954306 59.234278) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "10269fc1-0f96-4aa2-bb60-c710d41f13c2") + ) + (segment + (start 60.125 56.734999) + (end 60.125 55.75) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "1d49343e-3a74-4ab8-aed2-36ea78fdcb63") + ) + (segment + (start 62.954306 59.234278) + (end 65.275 61.554972) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "1f03e1dc-43c9-457e-a029-9a8d83036368") + ) + (segment + (start 65.275 61.554972) + (end 65.275 65.975) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "225cce09-e2c5-4df8-b51c-a0b75aa266bf") + ) + (segment + (start 63.015722 68.234278) + (end 61.69933 68.234278) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "385195e9-1301-4b27-8475-dac37f92567d") + ) + (segment + (start 60.125 55.75) + (end 68.925 46.95) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "4bf49c72-879c-4589-9519-64f9839c53b3") + ) + (segment + (start 65.275 65.975) + (end 63.015722 68.234278) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "61eb3e12-5d7f-48b3-8438-b10862f2f29c") + ) + (segment + (start 60.125 56.734999) + (end 60.125 58.7) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "68397b7b-332d-4d57-a6a7-da5b5cd43c35") + ) + (segment + (start 68.925 46.95) + (end 108.225002 46.95) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "6e3e8d50-78b2-41a2-ab12-11d667f61c39") + ) + (segment + (start 135.3 38.255) + (end 133.795 36.75) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "7f2c344d-ee32-41af-a605-15c186e363fc") + ) + (segment + (start 60.125 58.7) + (end 60.659278 59.234278) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "85a8524d-90b7-4340-85be-bab9df586dc3") + ) + (segment + (start 126.875 36.75) + (end 123.925 39.7) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "8cc81d4f-0144-40ff-865c-720868bae737") + ) + (segment + (start 133.795 36.75) + (end 126.875 36.75) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "917d3769-b13e-43ab-85d3-4a80cb2cf56c") + ) + (segment + (start 60.659278 59.234278) + (end 61.699333 59.234278) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "9a6cc774-9b0a-4438-8829-4da28dcae97b") + ) + (segment + (start 115.475002 39.7) + (end 109.150001 46.025001) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "c587c80b-0520-42d8-9098-e4dae3d06572") + ) + (segment + (start 123.925 39.7) + (end 115.475002 39.7) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "f1aa5268-f0f5-4664-ae41-7fac1facbf49") + ) + (segment + (start 108.225002 46.95) + (end 109.150001 46.025001) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "f8683a65-c5ec-4545-9b48-adc353baeb97") + ) + (via + (at 60.125 56.734999) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 42) + (uuid "cf2c9bd7-1c76-47d5-8aac-fe432508e335") + ) + (segment + (start 65.275 65.975) + (end 63.015 68.235) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "02624719-c16a-4a66-8e73-030a1a90f8cc") + ) + (segment + (start 61.709998 59.235) + (end 62.959998 59.235) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "0c48c8ce-0969-4ce8-ba75-2408b7460a2e") + ) + (segment + (start 60.654998 59.235) + (end 61.709998 59.235) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "32d30433-1971-4c66-b464-b8d08fe8674f") + ) + (segment + (start 65.275 61.550002) + (end 65.275 65.975) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "7f75e303-3130-4992-b487-2782a8c77509") + ) + (segment + (start 63.015 68.235) + (end 61.71 68.235) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "b4585e18-c241-463a-bd0a-7686705b25c2") + ) + (segment + (start 60.125 56.734999) + (end 60.125 58.705002) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "c27957b4-3415-4c76-95d6-9c2ffd05f98c") + ) + (segment + (start 60.125 58.705002) + (end 60.654998 59.235) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "c891ce31-6d24-44c4-88c4-41e61928b9d5") + ) + (segment + (start 62.959998 59.235) + (end 65.275 61.550002) + (width 0.2) + (layer "B.Cu") + (net 42) + (uuid "f791b849-2f4f-4fdd-be6f-5deab0d8dc55") + ) + (segment + (start 69.575 48.775) + (end 108.6 48.775) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "067e9ce4-acf4-4c1b-bc2f-ca7033634c08") + ) + (segment + (start 66.4 60.9) + (end 66.4 66.55) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "074a99e7-4c80-4a27-a8bd-5a2efbb175cc") + ) + (segment + (start 116.024999 41.35) + (end 110.35 47.024999) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "1488dd53-b41b-48ad-9c65-484b43178134") + ) + (segment + (start 63.775 58.275) + (end 67.05 58.275) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "30e09bb0-0316-477c-85bb-d6135491ba15") + ) + (segment + (start 123.25 41.35) + (end 116.024999 41.35) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "518f2808-5a34-4f49-b96f-5b08dbac79fb") + ) + (segment + (start 63.775 58.275) + (end 63.734276 58.234276) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "5c0e4783-9c12-4bc8-a13b-f804e30147ba") + ) + (segment + (start 67.25 58.075) + (end 67.25 51.1) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "68589743-34c6-4b80-9dd3-db0b6c63112c") + ) + (segment + (start 63.775 58.275) + (end 66.4 60.9) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "688e5416-b604-4fcd-b2f1-8b2a1b552759") + ) + (segment + (start 108.6 48.774999) + (end 108.6 48.775) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "69c24253-efc6-4d57-baaf-54699fa8e631") + ) + (segment + (start 67.05 58.275) + (end 67.25 58.075) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "6e4f61c0-397e-4353-bc40-d024122bac0b") + ) + (segment + (start 129.4 47.5) + (end 123.25 41.35) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "6fe61866-aac1-487a-94bc-39bd0ee0ffb2") + ) + (segment + (start 63.734276 58.234276) + (end 61.699332 58.234276) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "7941edbf-85c2-4070-b27b-e4f1e23395b7") + ) + (segment + (start 63.7 69.25) + (end 61.715056 69.25) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "7d62ca2d-0b77-431c-b555-8d2a63ccae54") + ) + (segment + (start 61.715056 69.25) + (end 61.699333 69.234277) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "7dadc64a-e160-4332-b750-01211ecf40fc") + ) + (segment + (start 110.35 47.024999) + (end 108.6 48.774999) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "b30a6d3c-a5a8-4bae-a3fd-29172cd6494a") + ) + (segment + (start 144.545 47.5) + (end 129.4 47.5) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "b8c40da1-298b-42c0-9952-afb40b36695d") + ) + (segment + (start 66.4 66.55) + (end 63.7 69.25) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "c9f2403d-3d94-4c53-8c8c-ca8f14b1a243") + ) + (segment + (start 150.54 53.495) + (end 144.545 47.5) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "df6edcf7-f13e-4af0-92d7-8d6e16c183c8") + ) + (segment + (start 67.25 51.1) + (end 69.575 48.775) + (width 0.4064) + (layer "F.Cu") + (net 43) + (uuid "f173fa16-4553-4bd6-b7d1-3b0a98826a1c") + ) + (via + (at 63.775 58.275) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 43) + (uuid "33c58872-08ac-4550-b4e6-69a60066d358") + ) + (via + (at 63.7 69.25) + (size 0.8) + (drill 0.4) + (layers "F.Cu" "B.Cu") + (net 43) + (uuid "a661ef7d-816f-4d4d-ac9f-aaf60bf77c28") + ) + (segment + (start 61.71 69.235002) + (end 63.685002 69.235002) + (width 0.4064) + (layer "B.Cu") + (net 43) + (uuid "2fa05834-5589-47d0-992a-aa614b061f12") + ) + (segment + (start 63.685002 69.235002) + (end 63.7 69.25) + (width 0.4064) + (layer "B.Cu") + (net 43) + (uuid "56a59226-239c-439d-90a5-59fbdb87d21c") + ) + (segment + (start 63.735001 58.235001) + (end 61.709999 58.235001) + (width 0.4064) + (layer "B.Cu") + (net 43) + (uuid "6ffb005e-fe10-40a3-95cf-eb87c1269d96") + ) + (segment + (start 63.775 58.275) + (end 63.735001 58.235001) + (width 0.4064) + (layer "B.Cu") + (net 43) + (uuid "e183e8d8-703e-4f24-ae72-33edf1bc8ac8") + ) + (zone + (net 39) + (net_name "TPGND") + (layer "F.Cu") + (uuid "76e61fee-1659-4538-8416-a2f9679b1250") + (hatch edge 0.5) + (connect_pads yes + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 40.425147 33.528808) (xy 138.375147 31.303808) (xy 189.725147 31.903808) (xy 190.950147 143.203808) + (xy 189.650147 145.503808) (xy 99.800147 146.328808) (xy 39.900147 146.053808) (xy 40.125147 46.753808) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 124.756913 34.426978) (xy 124.823938 34.446703) (xy 124.869661 34.499535) (xy 124.879563 34.568699) + (xy 124.8505 34.632237) (xy 124.818836 34.658365) (xy 124.756287 34.694477) (xy 124.756282 34.694481) + (xy 124.64448 34.806284) (xy 124.644478 34.806286) (xy 123.463584 35.987181) (xy 122.312584 37.138181) + (xy 122.251261 37.171666) (xy 122.224903 37.1745) (xy 113.93667 37.1745) (xy 113.936654 37.174499) + (xy 113.929058 37.174499) (xy 113.770943 37.174499) (xy 113.694579 37.194961) (xy 113.618214 37.215423) + (xy 113.618209 37.215426) (xy 113.48129 37.294475) (xy 113.481282 37.294481) (xy 109.826768 40.948995) + (xy 109.765445 40.98248) (xy 109.695753 40.977496) (xy 109.681475 40.9705) (xy 109.681336 40.970781) + (xy 109.676207 40.968226) (xy 109.591113 40.93526) (xy 109.473069 40.88953) (xy 109.258926 40.8495) + (xy 109.041074 40.8495) (xy 108.826931 40.88953) (xy 108.79624 40.90142) (xy 108.623792 40.968226) + (xy 108.623786 40.968229) (xy 108.438576 41.082906) (xy 108.438566 41.082913) (xy 108.277574 41.229676) + (xy 108.146288 41.403527) (xy 108.049184 41.598537) (xy 107.989564 41.808081) (xy 107.969464 42.024999) + (xy 107.969464 42.025) (xy 107.989564 42.241922) (xy 107.989565 42.241924) (xy 107.990506 42.245231) + (xy 107.990492 42.246881) (xy 107.990618 42.247555) (xy 107.990486 42.247579) (xy 107.989918 42.315098) + (xy 107.95892 42.366843) (xy 105.187584 45.138181) (xy 105.126261 45.171666) (xy 105.099903 45.1745) + (xy 68.132306 45.1745) (xy 67.974192 45.1745) (xy 67.821464 45.215423) (xy 67.821463 45.215423) + (xy 67.821461 45.215424) (xy 67.821458 45.215425) (xy 67.771345 45.244359) (xy 67.771344 45.24436) + (xy 67.762088 45.249704) (xy 67.684534 45.294479) (xy 67.684531 45.294481) (xy 57.594481 55.384531) + (xy 57.594479 55.384534) (xy 57.559981 55.444288) (xy 57.55998 55.44429) (xy 57.515423 55.521463) + (xy 57.50516 55.559766) (xy 57.474499 55.674192) (xy 57.474499 55.674194) (xy 57.474499 55.842295) + (xy 57.4745 55.842308) (xy 57.4745 59.023547) (xy 57.454815 59.090586) (xy 57.44265 59.106519) (xy 57.342466 59.217785) + (xy 57.247821 59.381715) (xy 57.247818 59.381722) (xy 57.193268 59.549612) (xy 57.189326 59.561744) + (xy 57.16954 59.75) (xy 57.189326 59.938256) (xy 57.189327 59.938259) (xy 57.247818 60.118277) (xy 57.247821 60.118284) + (xy 57.342466 60.282215) (xy 57.352873 60.293773) (xy 57.442648 60.393479) (xy 57.472879 60.456469) + (xy 57.474499 60.47645) (xy 57.474499 60.479054) (xy 57.474498 60.479054) (xy 57.515423 60.631785) + (xy 57.531223 60.659151) (xy 57.531222 60.659151) (xy 57.531223 60.659152) (xy 57.594475 60.768709) + (xy 57.594481 60.768717) (xy 57.713349 60.887585) (xy 57.713355 60.88759) (xy 59.424415 62.59865) + (xy 59.424425 62.598661) (xy 59.428755 62.602991) (xy 59.428756 62.602992) (xy 59.54056 62.714796) + (xy 59.613783 62.757071) (xy 59.677491 62.793853) (xy 59.830219 62.834777) (xy 59.830222 62.834777) + (xy 59.995929 62.834777) (xy 59.995945 62.834776) (xy 60.233271 62.834776) (xy 60.30031 62.854461) + (xy 60.332538 62.884466) (xy 60.341788 62.896822) (xy 60.370429 62.918263) (xy 60.456998 62.983069) + (xy 60.457005 62.983073) (xy 60.591851 63.033367) (xy 60.59185 63.033367) (xy 60.598778 63.034111) + (xy 60.651461 63.039776) (xy 62.747206 63.039775) (xy 62.806817 63.033367) (xy 62.814368 63.031583) + (xy 62.814667 63.03285) (xy 62.87636 63.028436) (xy 62.937684 63.061919) (xy 62.963181 63.087416) + (xy 62.996666 63.148739) (xy 62.9995 63.175097) (xy 62.9995 64.328458) (xy 62.979815 64.395497) + (xy 62.927011 64.441252) (xy 62.857853 64.451196) (xy 62.832167 64.44464) (xy 62.806815 64.435184) + (xy 62.806816 64.435184) (xy 62.747216 64.428777) (xy 62.747214 64.428776) (xy 62.747206 64.428776) + (xy 62.747197 64.428776) (xy 60.651462 64.428776) (xy 60.651456 64.428777) (xy 60.591849 64.435184) + (xy 60.457004 64.485478) (xy 60.456997 64.485482) (xy 60.341788 64.571728) (xy 60.341785 64.571731) + (xy 60.255539 64.68694) (xy 60.255535 64.686947) (xy 60.206149 64.81936) (xy 60.205242 64.821793) + (xy 60.198833 64.881403) (xy 60.198833 64.88141) (xy 60.198833 64.881411) (xy 60.198833 65.587146) + (xy 60.198834 65.587152) (xy 60.205242 65.646761) (xy 60.22172 65.690942) (xy 60.226704 65.760634) + (xy 60.221721 65.777605) (xy 60.205242 65.821789) (xy 60.205241 65.821793) (xy 60.198832 65.881403) + (xy 60.198832 65.88141) (xy 60.198832 65.881411) (xy 60.198832 66.587146) (xy 60.198833 66.587152) + (xy 60.20524 66.646759) (xy 60.221721 66.690946) (xy 60.226705 66.760638) (xy 60.221721 66.77761) + (xy 60.205245 66.821785) (xy 60.205242 66.821796) (xy 60.198835 66.881393) (xy 60.198834 66.881412) + (xy 60.198834 67.587146) (xy 60.198835 67.587152) (xy 60.205243 67.64676) (xy 60.22172 67.690939) + (xy 60.226704 67.760631) (xy 60.221721 67.777602) (xy 60.20524 67.821791) (xy 60.205239 67.821795) + (xy 60.19883 67.881405) (xy 60.19883 67.881412) (xy 60.19883 67.881413) (xy 60.19883 68.587148) + (xy 60.198831 68.587154) (xy 60.205239 68.646762) (xy 60.221719 68.690949) (xy 60.226703 68.760641) + (xy 60.22172 68.777612) (xy 60.205243 68.82179) (xy 60.205242 68.821794) (xy 60.198833 68.881404) + (xy 60.198833 68.881411) (xy 60.198833 68.881412) (xy 60.198833 69.587147) (xy 60.198834 69.587153) + (xy 60.205241 69.64676) (xy 60.255535 69.781605) (xy 60.255539 69.781612) (xy 60.341785 69.896821) + (xy 60.341788 69.896824) (xy 60.456997 69.98307) (xy 60.457004 69.983074) (xy 60.59185 70.033368) + (xy 60.591849 70.033368) (xy 60.598777 70.034112) (xy 60.65146 70.039777) (xy 62.747205 70.039776) + (xy 62.806816 70.033368) (xy 62.902729 69.997595) (xy 62.946062 69.989777) (xy 63.03087 69.989777) + (xy 63.081447 70.000561) (xy 63.100333 70.008997) (xy 63.106577 70.011787) (xy 63.173777 70.030917) + (xy 63.251352 70.041417) (xy 63.285149 70.051016) (xy 63.420191 70.111142) (xy 63.420193 70.111142) + (xy 63.420197 70.111144) (xy 63.548843 70.138488) (xy 63.610321 70.171679) (xy 63.644098 70.232841) + (xy 63.639446 70.302556) (xy 63.597842 70.358689) (xy 63.532495 70.383417) (xy 63.523059 70.383777) + (xy 62.651463 70.383777) (xy 62.651457 70.383778) (xy 62.59185 70.390185) (xy 62.457005 70.440479) + (xy 62.456998 70.440483) (xy 62.341789 70.526729) (xy 62.341786 70.526732) (xy 62.25554 70.641941) + (xy 62.255536 70.641948) (xy 62.205242 70.776794) (xy 62.199904 70.826452) (xy 62.198835 70.8364) + (xy 62.198834 70.836412) (xy 62.198834 73.612147) (xy 62.198835 73.612153) (xy 62.205242 73.67176) + (xy 62.255536 73.806605) (xy 62.25554 73.806612) (xy 62.341786 73.921821) (xy 62.341789 73.921824) + (xy 62.456998 74.00807) (xy 62.457005 74.008074) (xy 62.591851 74.058368) (xy 62.59185 74.058368) + (xy 62.598778 74.059112) (xy 62.651461 74.064777) (xy 66.347206 74.064776) (xy 66.406817 74.058368) + (xy 66.541665 74.008073) (xy 66.65688 73.921823) (xy 66.74313 73.806608) (xy 66.793425 73.67176) + (xy 66.799834 73.61215) (xy 66.799833 70.836405) (xy 66.793425 70.776794) (xy 66.791968 70.772888) + (xy 66.743131 70.641948) (xy 66.743127 70.641941) (xy 66.656881 70.526732) (xy 66.656878 70.526729) + (xy 66.541669 70.440483) (xy 66.541662 70.440479) (xy 66.406816 70.390185) (xy 66.406817 70.390185) + (xy 66.347217 70.383778) (xy 66.347215 70.383777) (xy 66.347207 70.383777) (xy 66.347199 70.383777) + (xy 63.87694 70.383777) (xy 63.809901 70.364092) (xy 63.764146 70.311288) (xy 63.754202 70.24213) + (xy 63.783227 70.178574) (xy 63.842005 70.1408) (xy 63.851118 70.138496) (xy 63.979803 70.111144) + (xy 64.108195 70.053979) (xy 64.1576 70.043263) (xy 68.606202 70.006499) (xy 68.711524 69.994514) + (xy 68.76201 69.983312) (xy 68.82631 69.961763) (xy 68.862502 69.949634) (xy 68.983537 69.871849) + (xy 68.983537 69.871848) (xy 68.983543 69.871845) (xy 69.036347 69.82609) (xy 69.130567 69.717356) + (xy 69.190338 69.586479) (xy 69.210023 69.51944) (xy 69.210024 69.519436) (xy 69.2305 69.37702) + (xy 69.2305 51.243978) (xy 69.250185 51.176939) (xy 69.268785 51.154373) (xy 70.721581 49.764742) + (xy 70.98467 49.513092) (xy 71.046722 49.480978) (xy 71.070382 49.4787) (xy 80.703855 49.4787) (xy 80.770894 49.498385) + (xy 80.816649 49.551189) (xy 80.826593 49.620347) (xy 80.797568 49.683903) (xy 80.765855 49.710086) + (xy 80.735496 49.727614) (xy 80.706285 49.744479) (xy 80.706282 49.744481) (xy 74.669481 55.781282) + (xy 74.669475 55.78129) (xy 74.632174 55.845899) (xy 74.632174 55.845901) (xy 74.590423 55.918214) + (xy 74.586256 55.933766) (xy 74.549499 56.070943) (xy 74.549499 56.070945) (xy 74.549499 56.239046) + (xy 74.5495 56.239059) (xy 74.5495 97.93833) (xy 74.549499 97.938348) (xy 74.549499 98.104054) (xy 74.549498 98.104054) + (xy 74.590423 98.256785) (xy 74.606604 98.284812) (xy 74.613369 98.296528) (xy 74.669479 98.393714) + (xy 74.669481 98.393717) (xy 74.788349 98.512585) (xy 74.788354 98.512589) (xy 76.531284 100.25552) + (xy 76.531286 100.255521) (xy 76.53129 100.255524) (xy 76.598557 100.29436) (xy 76.668216 100.334577) + (xy 76.820943 100.375501) (xy 76.820945 100.375501) (xy 76.986654 100.375501) (xy 76.98667 100.3755) + (xy 86.224903 100.3755) (xy 86.291942 100.395185) (xy 86.312583 100.411818) (xy 88.406284 102.50552) + (xy 88.406286 102.505521) (xy 88.40629 102.505524) (xy 88.543209 102.584573) (xy 88.543216 102.584577) + (xy 88.695943 102.625501) (xy 88.695945 102.625501) (xy 88.861654 102.625501) (xy 88.86167 102.6255) + (xy 96.97506 102.6255) (xy 97.042099 102.645185) (xy 97.087854 102.697989) (xy 97.098379 102.736534) + (xy 97.114326 102.888256) (xy 97.114327 102.888259) (xy 97.172818 103.068277) (xy 97.172821 103.068284) + (xy 97.267467 103.232216) (xy 97.392327 103.370887) (xy 97.394129 103.372888) (xy 97.547265 103.484148) + (xy 97.54727 103.484151) (xy 97.720192 103.561142) (xy 97.720197 103.561144) (xy 97.905354 103.6005) + (xy 97.905355 103.6005) (xy 98.094644 103.6005) (xy 98.094646 103.6005) (xy 98.279803 103.561144) + (xy 98.45273 103.484151) (xy 98.605871 103.372888) (xy 98.732533 103.232216) (xy 98.827179 103.068284) + (xy 98.885674 102.888256) (xy 98.90546 102.7) (xy 98.885674 102.511744) (xy 98.827179 102.331716) + (xy 98.732533 102.167784) (xy 98.605871 102.027112) (xy 98.60587 102.027111) (xy 98.452734 101.915851) + (xy 98.452729 101.915848) (xy 98.279807 101.838857) (xy 98.279802 101.838855) (xy 98.134001 101.807865) + (xy 98.094646 101.7995) (xy 98.094645 101.7995) (xy 98.000097 101.7995) (xy 97.933058 101.779815) + (xy 97.912416 101.763181) (xy 97.81259 101.663355) (xy 97.812588 101.663352) (xy 97.786417 101.637181) + (xy 97.752932 101.575858) (xy 97.757916 101.506166) (xy 97.799788 101.450233) (xy 97.865252 101.425816) + (xy 97.874098 101.4255) (xy 99.574903 101.4255) (xy 99.641942 101.445185) (xy 99.662584 101.461819) + (xy 101.413181 103.212416) (xy 101.446666 103.273739) (xy 101.4495 103.300097) (xy 101.4495 136.336735) + (xy 101.449499 136.336757) (xy 101.449499 136.410373) (xy 101.449027 136.421178) (xy 101.436516 136.564214) + (xy 101.432763 136.585501) (xy 101.397008 136.718946) (xy 101.389616 136.739256) (xy 101.37115 136.77886) + (xy 101.331233 136.864463) (xy 101.320425 136.883182) (xy 101.241184 136.99635) (xy 101.22729 137.012908) + (xy 101.129607 137.110591) (xy 101.113049 137.124485) (xy 100.999875 137.203729) (xy 100.981154 137.214537) + (xy 100.855946 137.272919) (xy 100.835636 137.280311) (xy 100.702196 137.316064) (xy 100.680907 137.319817) + (xy 100.537727 137.332339) (xy 100.526924 137.332811) (xy 100.451966 137.332811) (xy 100.45195 137.332812) + (xy 97.294641 137.332812) (xy 97.227602 137.313127) (xy 97.20696 137.296493) (xy 92.657134 132.746667) + (xy 92.657132 132.746664) (xy 92.538261 132.627793) (xy 92.53826 132.627792) (xy 92.437175 132.569431) + (xy 92.401329 132.548735) (xy 92.248601 132.507811) (xy 92.090487 132.507811) (xy 92.082891 132.507811) + (xy 92.082875 132.507812) (xy 89.04594 132.507812) (xy 89.005019 132.518776) (xy 89.005019 132.518777) + (xy 88.967751 132.528763) (xy 88.893214 132.548735) (xy 88.893209 132.548738) (xy 88.75629 132.627787) + (xy 88.756282 132.627793) (xy 88.64448 132.739596) (xy 88.644478 132.739598) (xy 87.101642 134.282435) + (xy 85.562584 135.821493) (xy 85.501261 135.854978) (xy 85.474903 135.857812) (xy 80.775098 135.857812) + (xy 80.708059 135.838127) (xy 80.687417 135.821493) (xy 79.079051 134.213127) (xy 77.442412 132.576488) + (xy 77.408928 132.515167) (xy 77.413912 132.445475) (xy 77.455784 132.389542) (xy 77.521248 132.365125) + (xy 77.570357 132.371528) (xy 77.680189 132.409233) (xy 77.705386 132.417883) (xy 77.950665 132.458812) + (xy 78.199335 132.458812) (xy 78.444608 132.417884) (xy 78.444607 132.417884) (xy 78.444614 132.417883) + (xy 78.550607 132.381494) (xy 78.620402 132.378345) (xy 78.678548 132.411095) (xy 81.565139 135.297686) + (xy 81.565149 135.297697) (xy 81.569479 135.302027) (xy 81.56948 135.302028) (xy 81.681284 135.413832) + (xy 81.768095 135.463951) (xy 81.768097 135.463953) (xy 81.806151 135.485923) (xy 81.818215 135.492889) + (xy 81.970943 135.533813) (xy 81.970946 135.533813) (xy 82.136653 135.533813) (xy 82.136669 135.533812) + (xy 84.013331 135.533812) (xy 84.013347 135.533813) (xy 84.020943 135.533813) (xy 84.179054 135.533813) + (xy 84.179057 135.533813) (xy 84.331785 135.492889) (xy 84.381904 135.463951) (xy 84.468716 135.413832) + (xy 84.58052 135.302028) (xy 84.58052 135.302026) (xy 84.590728 135.291819) (xy 84.59073 135.291816) + (xy 87.471452 132.411093) (xy 87.532773 132.37761) (xy 87.599392 132.381494) (xy 87.669106 132.405428) + (xy 87.705385 132.417883) (xy 87.950665 132.458812) (xy 88.199335 132.458812) (xy 88.444614 132.417883) + (xy 88.67981 132.33714) (xy 88.898509 132.218786) (xy 89.094744 132.06605) (xy 89.263164 131.883097) + (xy 89.399173 131.674919) (xy 89.499063 131.447193) (xy 89.560108 131.206133) (xy 89.580643 130.958312) + (xy 89.577026 130.914664) (xy 89.560109 130.710499) (xy 89.560107 130.710487) (xy 89.499063 130.46943) + (xy 89.399173 130.241705) (xy 89.263166 130.033529) (xy 89.22298 129.989876) (xy 89.094744 129.850574) + (xy 88.898509 129.697838) (xy 88.898507 129.697837) (xy 88.898506 129.697836) (xy 88.679811 129.579484) + (xy 88.679802 129.579481) (xy 88.444616 129.498741) (xy 88.199335 129.457812) (xy 87.950665 129.457812) + (xy 87.705383 129.498741) (xy 87.470197 129.579481) (xy 87.470188 129.579484) (xy 87.251493 129.697836) + (xy 87.055257 129.850573) (xy 87.055256 129.850574) (xy 87.053917 129.852029) (xy 86.886833 130.033529) + (xy 86.750826 130.241705) (xy 86.650936 130.46943) (xy 86.589892 130.710487) (xy 86.58989 130.710499) + (xy 86.569357 130.958306) (xy 86.569357 130.958317) (xy 86.58989 131.206124) (xy 86.589892 131.206136) + (xy 86.651007 131.447472) (xy 86.648382 131.517292) (xy 86.618482 131.565593) (xy 84.770147 133.413928) + (xy 84.708824 133.447413) (xy 84.639132 133.442429) (xy 84.583199 133.400557) (xy 84.558782 133.335093) + (xy 84.559902 133.311262) (xy 84.559685 133.311244) (xy 84.580643 133.058317) (xy 84.580643 133.058306) + (xy 84.560109 132.810499) (xy 84.560107 132.810487) (xy 84.499063 132.56943) (xy 84.399173 132.341705) + (xy 84.263166 132.133529) (xy 84.22298 132.089876) (xy 84.094744 131.950574) (xy 83.898509 131.797838) + (xy 83.898507 131.797837) (xy 83.898506 131.797836) (xy 83.679811 131.679484) (xy 83.679802 131.679481) + (xy 83.444616 131.598741) (xy 83.199335 131.557812) (xy 83.150097 131.557812) (xy 83.083058 131.538127) + (xy 83.062416 131.521493) (xy 80.686819 129.145896) (xy 80.653334 129.084573) (xy 80.6505 129.058215) + (xy 80.6505 127.286162) (xy 81.1245 127.286162) (xy 81.135849 127.37236) (xy 81.157874 127.539652) + (xy 81.212356 127.742981) (xy 81.22405 127.786624) (xy 81.224053 127.786634) (xy 81.321894 128.022843) + (xy 81.321899 128.022854) (xy 81.449734 128.244269) (xy 81.449745 128.244285) (xy 81.605388 128.447123) + (xy 81.605394 128.44713) (xy 81.786181 128.627917) (xy 81.786187 128.627922) (xy 81.989035 128.783573) + (xy 81.989042 128.783577) (xy 82.210457 128.911412) (xy 82.210462 128.911414) (xy 82.210465 128.911416) + (xy 82.446687 129.009262) (xy 82.69366 129.075438) (xy 82.947157 129.108812) (xy 82.947164 129.108812) + (xy 83.202836 129.108812) (xy 83.202843 129.108812) (xy 83.45634 129.075438) (xy 83.703313 129.009262) + (xy 83.939535 128.911416) (xy 84.160965 128.783573) (xy 84.363813 128.627922) (xy 84.54461 128.447125) + (xy 84.700261 128.244277) (xy 84.828104 128.022847) (xy 84.92595 127.786625) (xy 84.992126 127.539652) + (xy 85.0255 127.286155) (xy 85.0255 127.244923) (xy 87.4745 127.244923) (xy 87.501598 127.416013) + (xy 87.555127 127.580757) (xy 87.633768 127.7351) (xy 87.735586 127.87524) (xy 87.858072 127.997726) + (xy 87.998212 128.099544) (xy 88.152555 128.178185) (xy 88.317299 128.231714) (xy 88.488389 128.258812) + (xy 88.48839 128.258812) (xy 88.66161 128.258812) (xy 88.661611 128.258812) (xy 88.832701 128.231714) + (xy 88.997445 128.178185) (xy 89.151788 128.099544) (xy 89.291928 127.997726) (xy 89.414414 127.87524) + (xy 89.516232 127.7351) (xy 89.594873 127.580757) (xy 89.648402 127.416013) (xy 89.6755 127.244923) + (xy 89.6755 127.071701) (xy 89.648402 126.900611) (xy 89.594873 126.735867) (xy 89.516232 126.581524) + (xy 89.414414 126.441384) (xy 89.291928 126.318898) (xy 89.151788 126.21708) (xy 88.997445 126.138439) + (xy 88.832701 126.08491) (xy 88.832699 126.084909) (xy 88.832698 126.084909) (xy 88.701271 126.064093) + (xy 88.661611 126.057812) (xy 88.488389 126.057812) (xy 88.448728 126.064093) (xy 88.317302 126.084909) + (xy 88.152552 126.13844) (xy 87.998211 126.21708) (xy 87.918256 126.275171) (xy 87.858072 126.318898) + (xy 87.85807 126.3189) (xy 87.858069 126.3189) (xy 87.735588 126.441381) (xy 87.735588 126.441382) + (xy 87.735586 126.441384) (xy 87.702926 126.486336) (xy 87.633768 126.581523) (xy 87.555128 126.735864) + (xy 87.501597 126.900614) (xy 87.4745 127.071701) (xy 87.4745 127.244923) (xy 85.0255 127.244923) + (xy 85.0255 127.030469) (xy 84.992126 126.776972) (xy 84.92595 126.529999) (xy 84.828104 126.293777) + (xy 84.828102 126.293774) (xy 84.8281 126.293769) (xy 84.700265 126.072354) (xy 84.700261 126.072347) + (xy 84.54461 125.869499) (xy 84.544605 125.869493) (xy 84.363818 125.688706) (xy 84.363811 125.6887) + (xy 84.160973 125.533057) (xy 84.160971 125.533055) (xy 84.160965 125.533051) (xy 84.16096 125.533048) + (xy 84.160957 125.533046) (xy 83.939542 125.405211) (xy 83.939531 125.405206) (xy 83.703322 125.307365) + (xy 83.703315 125.307363) (xy 83.703313 125.307362) (xy 83.45634 125.241186) (xy 83.400007 125.233769) + (xy 83.20285 125.207812) (xy 83.202843 125.207812) (xy 82.947157 125.207812) (xy 82.947149 125.207812) + (xy 82.721826 125.237477) (xy 82.69366 125.241186) (xy 82.609603 125.263709) (xy 82.446687 125.307362) + (xy 82.446677 125.307365) (xy 82.210468 125.405206) (xy 82.210457 125.405211) (xy 81.989042 125.533046) + (xy 81.989026 125.533057) (xy 81.786188 125.6887) (xy 81.786181 125.688706) (xy 81.605394 125.869493) + (xy 81.605388 125.8695) (xy 81.449745 126.072338) (xy 81.449734 126.072354) (xy 81.321899 126.293769) + (xy 81.321894 126.29378) (xy 81.224053 126.529989) (xy 81.22405 126.529999) (xy 81.169571 126.73332) + (xy 81.157874 126.776973) (xy 81.1245 127.030461) (xy 81.1245 127.286162) (xy 80.6505 127.286162) + (xy 80.6505 124.233408) (xy 80.670185 124.166369) (xy 80.686815 124.145731) (xy 81.171069 123.661476) + (xy 81.232392 123.627992) (xy 81.25875 123.625158) (xy 81.706496 123.625158) (xy 81.706502 123.625158) + (xy 81.70651 123.625157) (xy 81.706513 123.625157) (xy 81.760918 123.619599) (xy 81.805866 123.615008) + (xy 81.966855 123.561662) (xy 82.111202 123.472627) (xy 82.231126 123.352703) (xy 82.320161 123.208356) + (xy 82.373507 123.047367) (xy 82.383658 122.948004) (xy 82.383657 122.101315) (xy 82.38065 122.071882) + (xy 82.373507 122.001951) (xy 82.373506 122.001948) (xy 82.349844 121.930541) (xy 82.320161 121.840962) + (xy 82.278184 121.772908) (xy 82.259745 121.705516) (xy 82.280667 121.638853) (xy 82.334309 121.594083) + (xy 82.383724 121.583812) (xy 82.89171 121.583812) (xy 82.958749 121.603497) (xy 82.979391 121.620131) + (xy 83.746337 122.387078) (xy 83.779822 122.448401) (xy 83.782656 122.474759) (xy 83.782656 122.947998) + (xy 83.782657 122.948016) (xy 83.792806 123.047368) (xy 83.792807 123.047371) (xy 83.846152 123.208355) + (xy 83.846157 123.208366) (xy 83.935185 123.352701) (xy 83.935188 123.352705) (xy 84.055111 123.472628) + (xy 84.055115 123.472631) (xy 84.19945 123.561659) (xy 84.199453 123.56166) (xy 84.199459 123.561664) + (xy 84.360448 123.61501) (xy 84.459811 123.625161) (xy 85.0065 123.62516) (xy 85.006508 123.625159) + (xy 85.006511 123.625159) (xy 85.060916 123.619601) (xy 85.105864 123.61501) (xy 85.266853 123.561664) + (xy 85.4112 123.472629) (xy 85.531124 123.352705) (xy 85.620159 123.208358) (xy 85.673505 123.047369) + (xy 85.683656 122.948006) (xy 85.683655 122.101317) (xy 85.673505 122.001953) (xy 85.620159 121.840964) + (xy 85.620155 121.840958) (xy 85.620154 121.840955) (xy 85.531126 121.69662) (xy 85.531123 121.696616) + (xy 85.4112 121.576693) (xy 85.411196 121.57669) (xy 85.266861 121.487662) (xy 85.266855 121.487659) + (xy 85.266853 121.487658) (xy 85.233524 121.476614) (xy 85.105865 121.434312) (xy 85.006508 121.424161) + (xy 85.006501 121.424161) (xy 84.533254 121.424161) (xy 84.466215 121.404476) (xy 84.445573 121.387842) + (xy 83.705783 120.648053) (xy 92.2245 120.648053) (xy 92.249446 120.837527) (xy 92.254452 120.87555) + (xy 92.254453 120.875552) (xy 92.313842 121.097199) (xy 92.40165 121.309188) (xy 92.401657 121.309202) + (xy 92.444377 121.383195) (xy 92.504688 121.487658) (xy 92.516392 121.507929) (xy 92.656081 121.689973) + (xy 92.656089 121.689982) (xy 92.81833 121.852223) (xy 92.818338 121.85223) (xy 92.818339 121.852231) + (xy 92.856242 121.881315) (xy 93.000382 121.991919) (xy 93.000385 121.99192) (xy 93.000388 121.991923) + (xy 93.199112 122.106656) (xy 93.199117 122.106658) (xy 93.199123 122.106661) (xy 93.29048 122.144502) + (xy 93.411113 122.19447) (xy 93.632762 122.25386) (xy 93.860266 122.283812) (xy 93.860273 122.283812) + (xy 94.089727 122.283812) (xy 94.089734 122.283812) (xy 94.317238 122.25386) (xy 94.538887 122.19447) + (xy 94.750888 122.106656) (xy 94.949612 121.991923) (xy 95.131661 121.852231) (xy 95.131665 121.852226) + (xy 95.13167 121.852223) (xy 95.293911 121.689982) (xy 95.293914 121.689977) (xy 95.293919 121.689973) + (xy 95.433611 121.507924) (xy 95.548344 121.3092) (xy 95.636158 121.097199) (xy 95.695548 120.87555) + (xy 95.7255 120.648046) (xy 95.7255 120.418578) (xy 95.695548 120.191074) (xy 95.636158 119.969425) + (xy 95.548344 119.757424) (xy 95.433611 119.5587) (xy 95.433608 119.558697) (xy 95.433607 119.558694) + (xy 95.293918 119.37665) (xy 95.293911 119.376642) (xy 95.13167 119.214401) (xy 95.131661 119.214393) + (xy 94.949617 119.074704) (xy 94.75089 118.959969) (xy 94.750876 118.959962) (xy 94.538887 118.872154) + (xy 94.317238 118.812764) (xy 94.279215 118.807758) (xy 94.089741 118.782812) (xy 94.089734 118.782812) + (xy 93.860266 118.782812) (xy 93.860258 118.782812) (xy 93.651736 118.810266) (xy 93.632762 118.812764) + (xy 93.539076 118.837866) (xy 93.411112 118.872154) (xy 93.199123 118.959962) (xy 93.199109 118.959969) + (xy 93.000382 119.074704) (xy 92.818338 119.214393) (xy 92.656081 119.37665) (xy 92.516392 119.558694) + (xy 92.401657 119.757421) (xy 92.40165 119.757435) (xy 92.313842 119.969424) (xy 92.254453 120.191071) + (xy 92.254451 120.191082) (xy 92.2245 120.41857) (xy 92.2245 120.648053) (xy 83.705783 120.648053) + (xy 83.679397 120.621667) (xy 83.679395 120.621664) (xy 83.560524 120.502793) (xy 83.560523 120.502792) + (xy 83.473711 120.452672) (xy 83.473711 120.452671) (xy 83.473707 120.45267) (xy 83.423592 120.423735) + (xy 83.270864 120.382811) (xy 83.11275 120.382811) (xy 83.105154 120.382811) (xy 83.105138 120.382812) + (xy 80.811669 120.382812) (xy 80.811653 120.382811) (xy 80.804057 120.382811) (xy 80.645943 120.382811) + (xy 80.538587 120.411577) (xy 80.49321 120.423736) (xy 80.493209 120.423737) (xy 80.443096 120.452671) + (xy 80.443095 120.452672) (xy 80.399689 120.477732) (xy 80.356285 120.502791) (xy 80.356282 120.502793) + (xy 80.272919 120.586157) (xy 80.24448 120.614596) (xy 80.244478 120.614598) (xy 78.48981 122.369267) + (xy 76.762584 124.096493) (xy 76.701261 124.129978) (xy 76.674903 124.132812) (xy 66.721906 124.132812) + (xy 66.654867 124.113127) (xy 66.634225 124.096493) (xy 64.979976 122.442244) (xy 64.946491 122.380921) + (xy 64.943657 122.354563) (xy 64.943657 121.881323) (xy 64.943656 121.881305) (xy 64.933507 121.781953) + (xy 64.933506 121.78195) (xy 64.93051 121.772909) (xy 64.880161 121.620964) (xy 64.880157 121.620958) + (xy 64.880156 121.620955) (xy 64.791128 121.47662) (xy 64.791127 121.476618) (xy 64.791126 121.476617) + (xy 64.671202 121.356693) (xy 64.671199 121.356691) (xy 64.671198 121.35669) (xy 64.526863 121.267662) + (xy 64.526857 121.267659) (xy 64.526855 121.267658) (xy 64.526846 121.267655) (xy 64.365867 121.214312) + (xy 64.266504 121.204161) (xy 63.71982 121.204161) (xy 63.719802 121.204162) (xy 63.62045 121.214311) + (xy 63.620447 121.214312) (xy 63.459463 121.267657) (xy 63.459452 121.267662) (xy 63.315117 121.35669) + (xy 63.315113 121.356693) (xy 63.19519 121.476616) (xy 63.195187 121.47662) (xy 63.106159 121.620955) + (xy 63.106154 121.620966) (xy 63.052809 121.781951) (xy 63.042658 121.881308) (xy 63.042658 122.727998) + (xy 63.042659 122.728016) (xy 63.052808 122.827368) (xy 63.052809 122.827371) (xy 63.106154 122.988355) + (xy 63.106159 122.988366) (xy 63.195187 123.132701) (xy 63.19519 123.132705) (xy 63.315113 123.252628) + (xy 63.315117 123.252631) (xy 63.459452 123.341659) (xy 63.459455 123.34166) (xy 63.459461 123.341664) + (xy 63.62045 123.39501) (xy 63.719813 123.405161) (xy 64.19306 123.40516) (xy 64.260099 123.424844) + (xy 64.280741 123.441479) (xy 65.936948 125.097686) (xy 65.936958 125.097697) (xy 65.941288 125.102027) + (xy 65.941289 125.102028) (xy 66.053093 125.213832) (xy 66.053095 125.213833) (xy 66.053099 125.213836) + (xy 66.139482 125.263709) (xy 66.139483 125.263709) (xy 66.190024 125.292889) (xy 66.342752 125.333812) + (xy 66.500866 125.333812) (xy 76.888331 125.333812) (xy 76.888347 125.333813) (xy 76.895943 125.333813) + (xy 77.054054 125.333813) (xy 77.054057 125.333813) (xy 77.206785 125.292889) (xy 77.257326 125.263709) + (xy 77.257327 125.263709) (xy 77.343709 125.213836) (xy 77.343708 125.213836) (xy 77.343716 125.213832) + (xy 77.45552 125.102028) (xy 77.45552 125.102026) (xy 77.465724 125.091823) (xy 77.465728 125.091818) + (xy 80.270977 122.286569) (xy 80.3323 122.253084) (xy 80.401992 122.258068) (xy 80.457925 122.29994) + (xy 80.482342 122.365404) (xy 80.482658 122.37425) (xy 80.482658 122.600055) (xy 80.462973 122.667094) + (xy 80.446339 122.687736) (xy 79.681286 123.45279) (xy 79.569481 123.564594) (xy 79.569475 123.564602) + (xy 79.534514 123.625158) (xy 79.534514 123.62516) (xy 79.490423 123.701526) (xy 79.490423 123.701527) + (xy 79.449499 123.854255) (xy 79.449499 123.854257) (xy 79.449499 124.022358) (xy 79.4495 124.022371) + (xy 79.4495 129.271642) (xy 79.449499 129.27166) (xy 79.449499 129.437366) (xy 79.449498 129.437366) + (xy 79.490423 129.590097) (xy 79.519358 129.640212) (xy 79.519359 129.640216) (xy 79.51936 129.640216) + (xy 79.552627 129.697838) (xy 79.569479 129.727026) (xy 79.569481 129.727029) (xy 79.688349 129.845897) + (xy 79.688355 129.845902) (xy 81.850858 132.008405) (xy 81.884343 132.069728) (xy 81.879359 132.13942) + (xy 81.866986 132.163907) (xy 81.750826 132.341705) (xy 81.650936 132.56943) (xy 81.589892 132.810487) + (xy 81.58989 132.810499) (xy 81.569357 133.058306) (xy 81.569357 133.058317) (xy 81.590315 133.311244) + (xy 81.589183 133.311337) (xy 81.58145 133.375226) (xy 81.536887 133.429039) (xy 81.470304 133.450217) + (xy 81.402841 133.432036) (xy 81.379852 133.413929) (xy 79.531516 131.565593) (xy 79.498031 131.50427) + (xy 79.498992 131.447471) (xy 79.499061 131.447196) (xy 79.499063 131.447193) (xy 79.560108 131.206133) + (xy 79.580643 130.958312) (xy 79.577026 130.914664) (xy 79.560109 130.710499) (xy 79.560107 130.710487) + (xy 79.499063 130.46943) (xy 79.399173 130.241705) (xy 79.263166 130.033529) (xy 79.22298 129.989876) + (xy 79.094744 129.850574) (xy 78.898509 129.697838) (xy 78.898507 129.697837) (xy 78.898506 129.697836) + (xy 78.679811 129.579484) (xy 78.679802 129.579481) (xy 78.444616 129.498741) (xy 78.199335 129.457812) + (xy 77.950665 129.457812) (xy 77.705383 129.498741) (xy 77.470197 129.579481) (xy 77.470188 129.579484) + (xy 77.251493 129.697836) (xy 77.055257 129.850573) (xy 77.055256 129.850574) (xy 77.053917 129.852029) + (xy 76.886833 130.033529) (xy 76.750826 130.241705) (xy 76.650936 130.46943) (xy 76.589892 130.710487) + (xy 76.58989 130.710499) (xy 76.569357 130.958306) (xy 76.569357 130.958317) (xy 76.58989 131.206124) + (xy 76.589892 131.206136) (xy 76.650937 131.447197) (xy 76.652601 131.452041) (xy 76.651127 131.452547) + (xy 76.659127 131.514895) (xy 76.62914 131.578003) (xy 76.569795 131.61488) (xy 76.499933 131.613819) + (xy 76.44899 131.583067) (xy 73.83759 128.971667) (xy 73.837588 128.971664) (xy 73.718717 128.852793) + (xy 73.718709 128.852787) (xy 73.625087 128.798735) (xy 73.625085 128.798734) (xy 73.58179 128.773737) + (xy 73.581789 128.773736) (xy 73.569263 128.770379) (xy 73.429057 128.732811) (xy 73.270943 128.732811) + (xy 73.263347 128.732811) (xy 73.263331 128.732812) (xy 68.785446 128.732812) (xy 68.744525 128.743776) + (xy 68.744525 128.743777) (xy 68.707257 128.753763) (xy 68.63272 128.773735) (xy 68.632715 128.773738) + (xy 68.495796 128.852787) (xy 68.495788 128.852793) (xy 68.383984 128.964598) (xy 67.886707 129.461874) + (xy 67.825384 129.495359) (xy 67.758763 129.491474) (xy 67.652775 129.455088) (xy 67.407494 129.414159) + (xy 67.158824 129.414159) (xy 66.913542 129.455088) (xy 66.678356 129.535828) (xy 66.678347 129.535831) + (xy 66.459652 129.654183) (xy 66.263416 129.80692) (xy 66.094992 129.989876) (xy 65.958985 130.198052) + (xy 65.859095 130.425777) (xy 65.798051 130.666834) (xy 65.798049 130.666846) (xy 65.777516 130.914653) + (xy 65.777516 130.914664) (xy 65.798049 131.162471) (xy 65.798051 131.162483) (xy 65.859095 131.40354) + (xy 65.958984 131.631262) (xy 65.958986 131.631266) (xy 66.00026 131.694442) (xy 66.020447 131.76133) + (xy 66.001267 131.828516) (xy 65.984132 131.849943) (xy 63.637584 134.196493) (xy 63.576261 134.229978) + (xy 63.549903 134.232812) (xy 63.483949 134.232812) (xy 63.41691 134.213127) (xy 63.371155 134.160323) + (xy 63.361211 134.091165) (xy 63.390236 134.027609) (xy 63.392698 134.024851) (xy 63.471322 133.939444) + (xy 63.607331 133.731266) (xy 63.707221 133.50354) (xy 63.768266 133.26248) (xy 63.768267 133.262471) + (xy 63.788801 133.014664) (xy 63.788801 133.014653) (xy 63.768267 132.766846) (xy 63.768265 132.766834) + (xy 63.707221 132.525777) (xy 63.607331 132.298052) (xy 63.471324 132.089876) (xy 63.409205 132.022397) + (xy 63.302902 131.906921) (xy 63.106667 131.754185) (xy 63.106665 131.754184) (xy 63.106664 131.754183) + (xy 62.887969 131.635831) (xy 62.88796 131.635828) (xy 62.652774 131.555088) (xy 62.407493 131.514159) + (xy 62.231445 131.514159) (xy 62.164406 131.494474) (xy 62.143764 131.47784) (xy 59.936819 129.270895) + (xy 59.903334 129.209572) (xy 59.9005 129.183214) (xy 59.9005 127.242509) (xy 60.332658 127.242509) + (xy 60.349754 127.372356) (xy 60.366032 127.495999) (xy 60.418402 127.691447) (xy 60.432208 127.742971) + (xy 60.432211 127.742981) (xy 60.530052 127.97919) (xy 60.530057 127.979201) (xy 60.657892 128.200616) + (xy 60.657903 128.200632) (xy 60.813546 128.40347) (xy 60.813552 128.403477) (xy 60.994339 128.584264) + (xy 60.994346 128.58427) (xy 61.051236 128.627923) (xy 61.197193 128.73992) (xy 61.1972 128.739924) + (xy 61.418615 128.867759) (xy 61.41862 128.867761) (xy 61.418623 128.867763) (xy 61.654845 128.965609) + (xy 61.901818 129.031785) (xy 62.155315 129.065159) (xy 62.155322 129.065159) (xy 62.410994 129.065159) + (xy 62.411001 129.065159) (xy 62.664498 129.031785) (xy 62.911471 128.965609) (xy 63.147693 128.867763) + (xy 63.369123 128.73992) (xy 63.571971 128.584269) (xy 63.752768 128.403472) (xy 63.908419 128.200624) + (xy 64.036262 127.979194) (xy 64.134108 127.742972) (xy 64.200284 127.495999) (xy 64.233658 127.242502) + (xy 64.233658 127.201269) (xy 66.682658 127.201269) (xy 66.696103 127.286162) (xy 66.709756 127.37236) + (xy 66.763285 127.537104) (xy 66.841926 127.691447) (xy 66.943744 127.831587) (xy 67.06623 127.954073) + (xy 67.20637 128.055891) (xy 67.360713 128.134532) (xy 67.525457 128.188061) (xy 67.696547 128.215159) + (xy 67.696548 128.215159) (xy 67.869768 128.215159) (xy 67.869769 128.215159) (xy 68.040859 128.188061) + (xy 68.205603 128.134532) (xy 68.359946 128.055891) (xy 68.500086 127.954073) (xy 68.622572 127.831587) + (xy 68.72439 127.691447) (xy 68.803031 127.537104) (xy 68.85656 127.37236) (xy 68.876744 127.244923) + (xy 76.4745 127.244923) (xy 76.501598 127.416013) (xy 76.555127 127.580757) (xy 76.633768 127.7351) + (xy 76.735586 127.87524) (xy 76.858072 127.997726) (xy 76.998212 128.099544) (xy 77.152555 128.178185) + (xy 77.317299 128.231714) (xy 77.488389 128.258812) (xy 77.48839 128.258812) (xy 77.66161 128.258812) + (xy 77.661611 128.258812) (xy 77.832701 128.231714) (xy 77.997445 128.178185) (xy 78.151788 128.099544) + (xy 78.291928 127.997726) (xy 78.414414 127.87524) (xy 78.516232 127.7351) (xy 78.594873 127.580757) + (xy 78.648402 127.416013) (xy 78.6755 127.244923) (xy 78.6755 127.071701) (xy 78.648402 126.900611) + (xy 78.594873 126.735867) (xy 78.516232 126.581524) (xy 78.414414 126.441384) (xy 78.291928 126.318898) + (xy 78.151788 126.21708) (xy 77.997445 126.138439) (xy 77.832701 126.08491) (xy 77.832699 126.084909) + (xy 77.832698 126.084909) (xy 77.701271 126.064093) (xy 77.661611 126.057812) (xy 77.488389 126.057812) + (xy 77.448728 126.064093) (xy 77.317302 126.084909) (xy 77.152552 126.13844) (xy 76.998211 126.21708) + (xy 76.918256 126.275171) (xy 76.858072 126.318898) (xy 76.85807 126.3189) (xy 76.858069 126.3189) + (xy 76.735588 126.441381) (xy 76.735588 126.441382) (xy 76.735586 126.441384) (xy 76.702926 126.486336) + (xy 76.633768 126.581523) (xy 76.555128 126.735864) (xy 76.501597 126.900614) (xy 76.4745 127.071701) + (xy 76.4745 127.244923) (xy 68.876744 127.244923) (xy 68.883658 127.20127) (xy 68.883658 127.028048) + (xy 68.85656 126.856958) (xy 68.803031 126.692214) (xy 68.72439 126.537871) (xy 68.622572 126.397731) + (xy 68.500086 126.275245) (xy 68.359946 126.173427) (xy 68.205603 126.094786) (xy 68.040859 126.041257) + (xy 68.040857 126.041256) (xy 68.040856 126.041256) (xy 67.909429 126.02044) (xy 67.869769 126.014159) + (xy 67.696547 126.014159) (xy 67.656886 126.02044) (xy 67.52546 126.041256) (xy 67.36071 126.094787) + (xy 67.206369 126.173427) (xy 67.146287 126.21708) (xy 67.06623 126.275245) (xy 67.066228 126.275247) + (xy 67.066227 126.275247) (xy 66.943746 126.397728) (xy 66.943746 126.397729) (xy 66.943744 126.397731) + (xy 66.91203 126.441381) (xy 66.841926 126.53787) (xy 66.763286 126.692211) (xy 66.709755 126.856961) + (xy 66.682658 127.028048) (xy 66.682658 127.201269) (xy 64.233658 127.201269) (xy 64.233658 126.986816) + (xy 64.200284 126.733319) (xy 64.134108 126.486346) (xy 64.036262 126.250124) (xy 64.03626 126.250121) + (xy 64.036258 126.250116) (xy 63.908423 126.028701) (xy 63.908419 126.028694) (xy 63.752768 125.825846) + (xy 63.752763 125.82584) (xy 63.571976 125.645053) (xy 63.571969 125.645047) (xy 63.369131 125.489404) + (xy 63.369129 125.489402) (xy 63.369123 125.489398) (xy 63.369118 125.489395) (xy 63.369115 125.489393) + (xy 63.1477 125.361558) (xy 63.147689 125.361553) (xy 62.91148 125.263712) (xy 62.911473 125.26371) + (xy 62.911471 125.263709) (xy 62.664498 125.197533) (xy 62.608165 125.190116) (xy 62.411008 125.164159) + (xy 62.411001 125.164159) (xy 62.155315 125.164159) (xy 62.155307 125.164159) (xy 61.929984 125.193824) + (xy 61.901818 125.197533) (xy 61.654845 125.263709) (xy 61.654835 125.263712) (xy 61.418626 125.361553) + (xy 61.418615 125.361558) (xy 61.1972 125.489393) (xy 61.197184 125.489404) (xy 60.994346 125.645047) + (xy 60.994339 125.645053) (xy 60.813552 125.82584) (xy 60.813546 125.825847) (xy 60.657903 126.028685) + (xy 60.657892 126.028701) (xy 60.530057 126.250116) (xy 60.530052 126.250127) (xy 60.432211 126.486336) + (xy 60.432208 126.486346) (xy 60.406706 126.581523) (xy 60.366032 126.73332) (xy 60.332658 126.986808) + (xy 60.332658 127.242509) (xy 59.9005 127.242509) (xy 59.9005 123.983408) (xy 59.920185 123.916369) + (xy 59.936815 123.895731) (xy 60.391069 123.441476) (xy 60.452392 123.407992) (xy 60.47875 123.405158) + (xy 60.966496 123.405158) (xy 60.966502 123.405158) (xy 60.96651 123.405157) (xy 60.966513 123.405157) + (xy 61.020918 123.399599) (xy 61.065866 123.395008) (xy 61.226855 123.341662) (xy 61.371202 123.252627) + (xy 61.491126 123.132703) (xy 61.580161 122.988356) (xy 61.633507 122.827367) (xy 61.643658 122.728004) + (xy 61.643657 121.881315) (xy 61.633507 121.781951) (xy 61.580161 121.620962) (xy 61.580157 121.620955) + (xy 61.580156 121.620953) (xy 61.491128 121.476618) (xy 61.491127 121.476616) (xy 61.491126 121.476615) + (xy 61.371202 121.356691) (xy 61.371198 121.356688) (xy 61.226863 121.26766) (xy 61.226857 121.267657) + (xy 61.226855 121.267656) (xy 61.226852 121.267655) (xy 61.065867 121.21431) (xy 60.966504 121.204159) + (xy 60.41982 121.204159) (xy 60.419802 121.20416) (xy 60.32045 121.214309) (xy 60.320447 121.21431) + (xy 60.159463 121.267655) (xy 60.159452 121.26766) (xy 60.015117 121.356688) (xy 60.015113 121.356691) + (xy 59.89519 121.476614) (xy 59.895187 121.476618) (xy 59.806159 121.620953) (xy 59.806154 121.620964) + (xy 59.752809 121.781949) (xy 59.742658 121.881306) (xy 59.742658 122.340055) (xy 59.722973 122.407094) + (xy 59.706339 122.427736) (xy 58.931286 123.20279) (xy 58.819481 123.314594) (xy 58.819477 123.314599) + (xy 58.775408 123.390931) (xy 58.775408 123.390932) (xy 58.740423 123.451526) (xy 58.734768 123.472631) + (xy 58.699499 123.604255) (xy 58.699499 123.604257) (xy 58.699499 123.772358) (xy 58.6995 123.772371) + (xy 58.6995 129.396642) (xy 58.699499 129.39666) (xy 58.699499 129.562366) (xy 58.699498 129.562366) + (xy 58.740423 129.715097) (xy 58.769358 129.765212) (xy 58.769359 129.765216) (xy 58.76936 129.765216) + (xy 58.811861 129.838832) (xy 58.819479 129.852026) (xy 58.819481 129.852029) (xy 58.938349 129.970897) + (xy 58.938355 129.970902) (xy 61.008906 132.041453) (xy 61.042391 132.102776) (xy 61.037407 132.172468) + (xy 61.025034 132.196955) (xy 60.958984 132.298052) (xy 60.859094 132.525777) (xy 60.79805 132.766834) + (xy 60.798048 132.766846) (xy 60.777515 133.014653) (xy 60.777515 133.014664) (xy 60.798048 133.262471) + (xy 60.79805 133.262483) (xy 60.859094 133.50354) (xy 60.957474 133.727822) (xy 60.966377 133.797122) + (xy 60.9364 133.860234) (xy 60.877061 133.897121) (xy 60.807199 133.896071) (xy 60.756237 133.865313) + (xy 58.641278 131.750355) (xy 58.607793 131.689032) (xy 58.612777 131.61934) (xy 58.615403 131.612864) + (xy 58.707221 131.40354) (xy 58.757211 131.206136) (xy 58.768266 131.16248) (xy 58.768267 131.162471) + (xy 58.788801 130.914664) (xy 58.788801 130.914653) (xy 58.768267 130.666846) (xy 58.768265 130.666834) + (xy 58.707221 130.425777) (xy 58.607331 130.198052) (xy 58.471324 129.989876) (xy 58.419713 129.933812) + (xy 58.302902 129.806921) (xy 58.106667 129.654185) (xy 58.106665 129.654184) (xy 58.106664 129.654183) + (xy 57.887969 129.535831) (xy 57.88796 129.535828) (xy 57.652774 129.455088) (xy 57.407493 129.414159) + (xy 57.158823 129.414159) (xy 56.913541 129.455088) (xy 56.678355 129.535828) (xy 56.678346 129.535831) + (xy 56.459651 129.654183) (xy 56.263415 129.80692) (xy 56.094991 129.989876) (xy 55.958984 130.198052) + (xy 55.859094 130.425777) (xy 55.79805 130.666834) (xy 55.798048 130.666846) (xy 55.777515 130.914653) + (xy 55.777515 130.914664) (xy 55.798048 131.162471) (xy 55.79805 131.162483) (xy 55.859094 131.40354) + (xy 55.958984 131.631265) (xy 56.094991 131.839441) (xy 56.094994 131.839444) (xy 56.263414 132.022397) + (xy 56.459649 132.175133) (xy 56.678348 132.293487) (xy 56.913544 132.37423) (xy 57.158823 132.415159) + (xy 57.407492 132.415159) (xy 57.407493 132.415159) (xy 57.516037 132.397046) (xy 57.5854 132.405428) + (xy 57.624126 132.431674) (xy 60.506284 135.313832) (xy 60.506286 135.313833) (xy 60.50629 135.313836) + (xy 60.643209 135.392885) (xy 60.643216 135.392889) (xy 60.795943 135.433813) (xy 60.795945 135.433813) + (xy 60.961654 135.433813) (xy 60.96167 135.433812) (xy 63.763331 135.433812) (xy 63.763347 135.433813) + (xy 63.770943 135.433813) (xy 63.929054 135.433813) (xy 63.929057 135.433813) (xy 64.081785 135.392889) + (xy 64.131904 135.363951) (xy 64.218716 135.313832) (xy 64.33052 135.202028) (xy 64.33052 135.202026) + (xy 64.340728 135.191819) (xy 64.34073 135.191816) (xy 67.081068 132.451478) (xy 67.142391 132.417993) + (xy 67.168749 132.415159) (xy 67.407494 132.415159) (xy 67.652773 132.37423) (xy 67.887969 132.293487) + (xy 68.106668 132.175133) (xy 68.302903 132.022397) (xy 68.471323 131.839444) (xy 68.607332 131.631266) + (xy 68.707222 131.40354) (xy 68.768267 131.16248) (xy 68.768268 131.162471) (xy 68.788802 130.914664) + (xy 68.788802 130.914653) (xy 68.768268 130.666846) (xy 68.768267 130.666842) (xy 68.768267 130.666838) + (xy 68.707222 130.425778) (xy 68.707221 130.425777) (xy 68.707151 130.425498) (xy 68.709775 130.355677) + (xy 68.739671 130.30738) (xy 69.076924 129.970128) (xy 69.138245 129.936646) (xy 69.164603 129.933812) + (xy 73.049903 129.933812) (xy 73.116942 129.953497) (xy 73.137583 129.97013) (xy 80.106284 136.938832) + (xy 80.106286 136.938833) (xy 80.10629 136.938836) (xy 80.243209 137.017885) (xy 80.243216 137.017889) + (xy 80.395943 137.058813) (xy 80.395945 137.058813) (xy 80.561654 137.058813) (xy 80.56167 137.058812) + (xy 85.688331 137.058812) (xy 85.688347 137.058813) (xy 85.695943 137.058813) (xy 85.854054 137.058813) + (xy 85.854057 137.058813) (xy 86.006785 137.017889) (xy 86.056904 136.988951) (xy 86.143716 136.938832) + (xy 86.25552 136.827028) (xy 86.25552 136.827026) (xy 86.265728 136.816819) (xy 86.265729 136.816816) + (xy 89.337416 133.745131) (xy 89.398739 133.711646) (xy 89.425097 133.708812) (xy 91.869447 133.708812) + (xy 91.936486 133.728497) (xy 91.957128 133.745131) (xy 96.509683 138.297686) (xy 96.509693 138.297697) + (xy 96.514023 138.302027) (xy 96.514024 138.302028) (xy 96.625828 138.413832) (xy 96.62583 138.413833) + (xy 96.625834 138.413836) (xy 96.709802 138.462314) (xy 96.76276 138.492889) (xy 96.874563 138.522846) + (xy 96.915486 138.533812) (xy 96.915487 138.533812) (xy 96.915488 138.533812) (xy 100.61986 138.533812) + (xy 100.619998 138.533802) (xy 100.671268 138.533804) (xy 100.946544 138.49757) (xy 101.214735 138.425714) + (xy 101.471252 138.319465) (xy 101.711706 138.180643) (xy 101.931982 138.011622) (xy 102.128311 137.815294) + (xy 102.297334 137.59502) (xy 102.436158 137.354567) (xy 102.542408 137.098051) (xy 102.614266 136.82986) + (xy 102.615984 136.816813) (xy 102.628867 136.718946) (xy 102.650503 136.554585) (xy 102.6505 136.41576) + (xy 102.6505 102.920943) (xy 102.622043 102.814741) (xy 120.1745 102.814741) (xy 120.191851 102.946527) + (xy 120.204452 103.042238) (xy 120.246485 103.199109) (xy 120.263842 103.263887) (xy 120.35165 103.475876) + (xy 120.351657 103.47589) (xy 120.356886 103.484947) (xy 120.448504 103.643635) (xy 120.466392 103.674617) + (xy 120.606081 103.856661) (xy 120.606089 103.85667) (xy 120.76833 104.018911) (xy 120.768338 104.018918) + (xy 120.950382 104.158607) (xy 120.950385 104.158608) (xy 120.950388 104.158611) (xy 121.149112 104.273344) + (xy 121.149117 104.273346) (xy 121.149123 104.273349) (xy 121.238619 104.310419) (xy 121.361113 104.361158) + (xy 121.582762 104.420548) (xy 121.810266 104.4505) (xy 121.810273 104.4505) (xy 122.039727 104.4505) + (xy 122.039734 104.4505) (xy 122.267238 104.420548) (xy 122.488887 104.361158) (xy 122.700888 104.273344) + (xy 122.899612 104.158611) (xy 123.081661 104.018919) (xy 123.081665 104.018914) (xy 123.08167 104.018911) + (xy 123.243911 103.85667) (xy 123.243914 103.856665) (xy 123.243919 103.856661) (xy 123.383611 103.674612) + (xy 123.498344 103.475888) (xy 123.586158 103.263887) (xy 123.645548 103.042238) (xy 123.6755 102.814734) + (xy 123.6755 102.585266) (xy 123.645548 102.357762) (xy 123.586158 102.136113) (xy 123.50379 101.937259) + (xy 123.498349 101.924123) (xy 123.498346 101.924117) (xy 123.498344 101.924112) (xy 123.383611 101.725388) + (xy 123.383608 101.725385) (xy 123.383607 101.725382) (xy 123.247649 101.5482) (xy 123.243919 101.543339) + (xy 123.243918 101.543338) (xy 123.243911 101.54333) (xy 123.08167 101.381089) (xy 123.081661 101.381081) + (xy 122.899617 101.241392) (xy 122.70089 101.126657) (xy 122.700876 101.12665) (xy 122.488887 101.038842) + (xy 122.267238 100.979452) (xy 122.229215 100.974446) (xy 122.039741 100.9495) (xy 122.039734 100.9495) + (xy 121.810266 100.9495) (xy 121.810258 100.9495) (xy 121.593715 100.978009) (xy 121.582762 100.979452) + (xy 121.489076 101.004554) (xy 121.361112 101.038842) (xy 121.149123 101.12665) (xy 121.149109 101.126657) + (xy 120.950382 101.241392) (xy 120.768338 101.381081) (xy 120.606081 101.543338) (xy 120.466392 101.725382) + (xy 120.351657 101.924109) (xy 120.35165 101.924123) (xy 120.263842 102.136112) (xy 120.204453 102.357759) + (xy 120.204451 102.35777) (xy 120.1745 102.585258) (xy 120.1745 102.814741) (xy 102.622043 102.814741) + (xy 102.609577 102.768216) (xy 102.598557 102.749128) (xy 102.530524 102.63129) (xy 102.530521 102.631286) + (xy 102.53052 102.631284) (xy 102.418716 102.51948) (xy 102.418715 102.519479) (xy 102.414385 102.515149) + (xy 102.414374 102.515139) (xy 100.36259 100.463355) (xy 100.362588 100.463352) (xy 100.243717 100.344481) + (xy 100.243716 100.34448) (xy 100.156904 100.29436) (xy 100.156904 100.294359) (xy 100.1569 100.294358) + (xy 100.106785 100.265423) (xy 99.954057 100.224499) (xy 99.795943 100.224499) (xy 99.788347 100.224499) + (xy 99.788331 100.2245) (xy 92.889388 100.2245) (xy 92.822349 100.204815) (xy 92.776594 100.152011) + (xy 92.76665 100.082853) (xy 92.783811 100.035465) (xy 92.783869 100.035369) (xy 92.784428 100.034463) + (xy 92.836348 99.98771) (xy 92.890004 99.9755) (xy 109.123126 99.9755) (xy 109.190165 99.995185) + (xy 109.210807 100.011819) (xy 109.271955 100.072967) (xy 109.271959 100.07297) (xy 109.416294 100.161998) + (xy 109.416297 100.161999) (xy 109.416303 100.162003) (xy 109.577292 100.215349) (xy 109.676655 100.2255) + (xy 110.523344 100.225499) (xy 110.523352 100.225498) (xy 110.523355 100.225498) (xy 110.57776 100.21994) + (xy 110.622708 100.215349) (xy 110.783697 100.162003) (xy 110.928044 100.072968) (xy 111.047968 99.953044) + (xy 111.059465 99.934403) (xy 111.111412 99.887679) (xy 111.165004 99.8755) (xy 111.488331 99.8755) + (xy 111.488347 99.875501) (xy 111.495943 99.875501) (xy 111.654054 99.875501) (xy 111.654057 99.875501) + (xy 111.806785 99.834577) (xy 111.893387 99.784577) (xy 111.943716 99.75552) (xy 112.05552 99.643716) + (xy 112.05552 99.643714) (xy 112.065724 99.633511) (xy 112.065727 99.633506) (xy 117.762416 93.936819) + (xy 117.823739 93.903334) (xy 117.850097 93.9005) (xy 122.124903 93.9005) (xy 122.191942 93.920185) + (xy 122.212584 93.936819) (xy 127.313181 99.037416) (xy 127.346666 99.098739) (xy 127.3495 99.125097) + (xy 127.3495 99.498337) (xy 127.349501 99.498355) (xy 127.35965 99.597707) (xy 127.359651 99.59771) + (xy 127.412996 99.758694) (xy 127.413001 99.758705) (xy 127.502029 99.90304) (xy 127.502032 99.903044) + (xy 127.621955 100.022967) (xy 127.621959 100.02297) (xy 127.766294 100.111998) (xy 127.766297 100.111999) + (xy 127.766303 100.112003) (xy 127.927292 100.165349) (xy 128.026655 100.1755) (xy 128.499902 100.175499) + (xy 128.566941 100.195183) (xy 128.587583 100.211818) (xy 128.763181 100.387416) (xy 128.796666 100.448739) + (xy 128.7995 100.475097) (xy 128.7995 102.36333) (xy 128.799499 102.363348) (xy 128.799499 102.529054) + (xy 128.799498 102.529054) (xy 128.799499 102.529057) (xy 128.839734 102.679215) (xy 128.840424 102.681787) + (xy 128.848192 102.695241) (xy 128.848193 102.695243) (xy 128.919477 102.818712) (xy 128.919481 102.818717) + (xy 129.038349 102.937585) (xy 129.038354 102.937589) (xy 130.406284 104.30552) (xy 130.406286 104.305521) + (xy 130.40629 104.305524) (xy 130.529165 104.376465) (xy 130.543216 104.384577) (xy 130.695943 104.425501) + (xy 130.695945 104.425501) (xy 130.861654 104.425501) (xy 130.86167 104.4255) (xy 141.249903 104.4255) + (xy 141.316942 104.445185) (xy 141.337584 104.461819) (xy 144.915139 108.039374) (xy 144.915149 108.039385) + (xy 144.919479 108.043715) (xy 144.91948 108.043716) (xy 145.031284 108.15552) (xy 145.106101 108.198715) + (xy 145.168215 108.234577) (xy 145.320942 108.2755) (xy 145.320943 108.2755) (xy 145.559996 108.2755) + (xy 145.627035 108.295185) (xy 145.665534 108.334403) (xy 145.671095 108.343418) (xy 145.677031 108.353043) + (xy 145.796955 108.472967) (xy 145.796959 108.47297) (xy 145.941294 108.561998) (xy 145.941297 108.561999) + (xy 145.941303 108.562003) (xy 146.102292 108.615349) (xy 146.201655 108.6255) (xy 146.974902 108.625499) + (xy 147.041941 108.645183) (xy 147.062583 108.661818) (xy 147.238181 108.837416) (xy 147.271666 108.898739) + (xy 147.2745 108.925097) (xy 147.2745 110.73833) (xy 147.274499 110.738348) (xy 147.274499 110.904054) + (xy 147.274498 110.904054) (xy 147.274499 110.904057) (xy 147.315423 111.056785) (xy 147.315424 111.056787) + (xy 147.315423 111.056787) (xy 147.329568 111.081285) (xy 147.329569 111.081287) (xy 147.394475 111.193709) + (xy 147.394481 111.193717) (xy 147.513349 111.312585) (xy 147.513355 111.31259) (xy 149.490139 113.289374) + (xy 149.490149 113.289385) (xy 149.494479 113.293715) (xy 149.49448 113.293716) (xy 149.606284 113.40552) + (xy 149.606286 113.405521) (xy 149.60629 113.405524) (xy 149.698385 113.458694) (xy 149.743216 113.484577) + (xy 149.855019 113.514534) (xy 149.895942 113.5255) (xy 149.895943 113.5255) (xy 163.884996 113.5255) + (xy 163.952035 113.545185) (xy 163.990535 113.584404) (xy 164.002031 113.603043) (xy 164.121955 113.722967) + (xy 164.121959 113.72297) (xy 164.266294 113.811998) (xy 164.266297 113.811999) (xy 164.266303 113.812003) + (xy 164.427292 113.865349) (xy 164.526655 113.8755) (xy 165.373344 113.875499) (xy 165.373352 113.875498) + (xy 165.373355 113.875498) (xy 165.42776 113.86994) (xy 165.472708 113.865349) (xy 165.633697 113.812003) + (xy 165.778044 113.722968) (xy 165.897968 113.603044) (xy 165.987003 113.458697) (xy 166.040349 113.297708) + (xy 166.0505 113.198345) (xy 166.050499 112.725095) (xy 166.070183 112.658057) (xy 166.086813 112.63742) + (xy 166.793713 111.930521) (xy 166.793716 111.93052) (xy 166.90552 111.818716) (xy 166.955639 111.731904) + (xy 166.984577 111.681785) (xy 167.025501 111.529057) (xy 167.025501 111.370943) (xy 167.025501 111.363348) + (xy 167.0255 111.36333) (xy 167.0255 106.925) (xy 168.525 106.925) (xy 168.526763 106.996955) (xy 168.527407 107.023252) + (xy 168.527408 107.023261) (xy 168.565742 107.215979) (xy 168.565744 107.215987) (xy 168.640943 107.397533) + (xy 168.640948 107.397543) (xy 168.750118 107.560927) (xy 168.750121 107.560931) (xy 168.889068 107.699878) + (xy 168.889072 107.699881) (xy 169.052456 107.809051) (xy 169.05246 107.809053) (xy 169.052463 107.809055) + (xy 169.234013 107.884256) (xy 169.426746 107.922593) (xy 169.525 107.925) (xy 173.524992 107.925) + (xy 173.525 107.925) (xy 173.623254 107.922593) (xy 173.815987 107.884256) (xy 173.997537 107.809055) + (xy 174.160928 107.699881) (xy 174.299881 107.560928) (xy 174.409055 107.397537) (xy 174.484256 107.215987) + (xy 174.522593 107.023254) (xy 174.525 106.925) (xy 174.525 102.925) (xy 174.522593 102.826746) + (xy 174.484256 102.634013) (xy 174.409055 102.452463) (xy 174.409053 102.45246) (xy 174.409051 102.452456) + (xy 174.299881 102.289072) (xy 174.299878 102.289068) (xy 174.160931 102.150121) (xy 174.160927 102.150118) + (xy 173.997543 102.040948) (xy 173.997533 102.040943) (xy 173.815987 101.965744) (xy 173.815979 101.965742) + (xy 173.623261 101.927408) (xy 173.623256 101.927407) (xy 173.623254 101.927407) (xy 173.525 101.925) + (xy 173.524992 101.925) (xy 169.525008 101.925) (xy 169.525 101.925) (xy 169.426746 101.927407) + (xy 169.426744 101.927407) (xy 169.426738 101.927408) (xy 169.23402 101.965742) (xy 169.234012 101.965744) + (xy 169.052466 102.040943) (xy 169.052456 102.040948) (xy 168.889072 102.150118) (xy 168.889068 102.150121) + (xy 168.750121 102.289068) (xy 168.750118 102.289072) (xy 168.640948 102.452456) (xy 168.640943 102.452466) + (xy 168.565744 102.634012) (xy 168.565742 102.63402) (xy 168.527408 102.826738) (xy 168.527407 102.826744) + (xy 168.527407 102.826746) (xy 168.525 102.925) (xy 168.525 106.925) (xy 167.0255 106.925) (xy 167.0255 98.303339) + (xy 167.045185 98.2363) (xy 167.097989 98.190545) (xy 167.167147 98.180601) (xy 167.214595 98.1978) + (xy 167.355666 98.284814) (xy 167.522203 98.339999) (xy 167.624992 98.3505) (xy 167.624997 98.3505) + (xy 168.725003 98.3505) (xy 168.725008 98.3505) (xy 168.827797 98.339999) (xy 168.994334 98.284814) + (xy 169.143655 98.192711) (xy 169.267711 98.068655) (xy 169.359814 97.919334) (xy 169.414999 97.752797) + (xy 169.425499 97.650015) (xy 173.6245 97.650015) (xy 173.635 97.752795) (xy 173.635001 97.752796) + (xy 173.690186 97.919335) (xy 173.690187 97.919337) (xy 173.782286 98.068651) (xy 173.782289 98.068655) + (xy 173.906344 98.19271) (xy 173.906348 98.192713) (xy 174.055662 98.284812) (xy 174.055664 98.284813) + (xy 174.055666 98.284814) (xy 174.222203 98.339999) (xy 174.324992 98.3505) (xy 174.324997 98.3505) + (xy 175.425003 98.3505) (xy 175.425008 98.3505) (xy 175.527797 98.339999) (xy 175.694334 98.284814) + (xy 175.843655 98.192711) (xy 175.967711 98.068655) (xy 176.059814 97.919334) (xy 176.114999 97.752797) + (xy 176.1255 97.650008) (xy 176.1255 94.649992) (xy 176.114999 94.547203) (xy 176.059814 94.380666) + (xy 176.059046 94.379421) (xy 175.967713 94.231348) (xy 175.96771 94.231344) (xy 175.843655 94.107289) + (xy 175.843651 94.107286) (xy 175.694337 94.015187) (xy 175.694335 94.015186) (xy 175.611065 93.987593) + (xy 175.527797 93.960001) (xy 175.527795 93.96) (xy 175.425015 93.9495) (xy 175.425008 93.9495) + (xy 174.324992 93.9495) (xy 174.324984 93.9495) (xy 174.222204 93.96) (xy 174.222203 93.960001) + (xy 174.055664 94.015186) (xy 174.055662 94.015187) (xy 173.906348 94.107286) (xy 173.906344 94.107289) + (xy 173.782289 94.231344) (xy 173.782286 94.231348) (xy 173.690187 94.380662) (xy 173.690186 94.380664) + (xy 173.635001 94.547203) (xy 173.635 94.547204) (xy 173.6245 94.649984) (xy 173.6245 97.650015) + (xy 169.425499 97.650015) (xy 169.4255 97.650008) (xy 169.4255 94.649992) (xy 169.414999 94.547203) + (xy 169.359814 94.380666) (xy 169.359046 94.379421) (xy 169.267713 94.231348) (xy 169.26771 94.231344) + (xy 169.143655 94.107289) (xy 169.143651 94.107286) (xy 168.994337 94.015187) (xy 168.994335 94.015186) + (xy 168.911065 93.987593) (xy 168.827797 93.960001) (xy 168.827795 93.96) (xy 168.725015 93.9495) + (xy 168.725008 93.9495) (xy 167.974097 93.9495) (xy 167.907058 93.929815) (xy 167.861303 93.877011) + (xy 167.851359 93.807853) (xy 167.880384 93.744297) (xy 167.886416 93.737819) (xy 168.047473 93.576762) + (xy 168.908506 92.715727) (xy 168.908511 92.715724) (xy 168.918714 92.70552) (xy 168.918716 92.70552) + (xy 169.03052 92.593716) (xy 169.098812 92.47543) (xy 169.109577 92.456785) (xy 169.150501 92.304057) + (xy 169.150501 92.145943) (xy 169.150501 92.138348) (xy 169.1505 92.13833) (xy 169.1505 91.950001) + (xy 169.5245 91.950001) (xy 169.524501 91.950018) (xy 169.535 92.052796) (xy 169.535001 92.052799) + (xy 169.590185 92.219331) (xy 169.590187 92.219336) (xy 169.616037 92.261245) (xy 169.682288 92.368656) + (xy 169.806344 92.492712) (xy 169.955666 92.584814) (xy 170.122203 92.639999) (xy 170.224991 92.6505) + (xy 170.825008 92.650499) (xy 170.825016 92.650498) (xy 170.825019 92.650498) (xy 170.881302 92.644748) + (xy 170.927797 92.639999) (xy 171.094334 92.584814) (xy 171.243656 92.492712) (xy 171.367712 92.368656) + (xy 171.419461 92.284756) (xy 171.471409 92.238032) (xy 171.540371 92.226809) (xy 171.604454 92.254653) + (xy 171.630538 92.284756) (xy 171.682288 92.368656) (xy 171.806344 92.492712) (xy 171.955666 92.584814) + (xy 172.122203 92.639999) (xy 172.224991 92.6505) (xy 172.825008 92.650499) (xy 172.825016 92.650498) + (xy 172.825019 92.650498) (xy 172.881302 92.644748) (xy 172.927797 92.639999) (xy 173.094334 92.584814) + (xy 173.243656 92.492712) (xy 173.367712 92.368656) (xy 173.459814 92.219334) (xy 173.514999 92.052797) + (xy 173.5255 91.950009) (xy 173.525499 88.849992) (xy 173.514999 88.747203) (xy 173.459814 88.580666) + (xy 173.367712 88.431344) (xy 173.265019 88.328651) (xy 173.231534 88.267328) (xy 173.2287 88.24097) + (xy 173.2287 73.229132) (xy 173.248385 73.162093) (xy 173.265014 73.141455) (xy 174.026657 72.379812) + (xy 174.087978 72.346329) (xy 174.157669 72.351313) (xy 174.213603 72.393185) (xy 174.23802 72.458649) + (xy 174.238336 72.467495) (xy 174.238336 72.87032) (xy 174.238337 72.870326) (xy 174.244744 72.929933) + (xy 174.295038 73.064778) (xy 174.295042 73.064785) (xy 174.381288 73.179994) (xy 174.381291 73.179997) + (xy 174.4965 73.266243) (xy 174.496507 73.266247) (xy 174.631353 73.316541) (xy 174.631352 73.316541) + (xy 174.63828 73.317285) (xy 174.690963 73.32295) (xy 175.586708 73.322949) (xy 175.646319 73.316541) + (xy 175.781167 73.266246) (xy 175.896382 73.179996) (xy 175.982632 73.064781) (xy 176.032927 72.929933) + (xy 176.039336 72.870323) (xy 176.039335 71.774578) (xy 176.034135 71.726207) (xy 176.032927 71.714966) + (xy 175.982633 71.580121) (xy 175.982629 71.580114) (xy 175.930959 71.511093) (xy 175.896382 71.464904) + (xy 175.789024 71.384535) (xy 175.747154 71.328603) (xy 175.739336 71.285275) (xy 175.739336 70.905128) + (xy 175.759021 70.838091) (xy 175.811825 70.792336) (xy 175.880983 70.782392) (xy 175.910859 70.792188) + (xy 175.911396 70.790894) (xy 176.021288 70.836412) (xy 176.044508 70.84603) (xy 176.044512 70.84603) + (xy 176.044513 70.846031) (xy 176.17984 70.87295) (xy 176.179843 70.87295) (xy 176.317831 70.87295) + (xy 176.408877 70.854839) (xy 176.453164 70.84603) (xy 176.580647 70.793225) (xy 176.695378 70.716564) + (xy 176.79295 70.618992) (xy 176.869611 70.504261) (xy 176.922416 70.376778) (xy 176.937645 70.300216) + (xy 176.949336 70.241445) (xy 176.949336 70.103454) (xy 176.922417 69.968127) (xy 176.922416 69.968126) + (xy 176.922416 69.968122) (xy 176.899062 69.91174) (xy 176.869614 69.840645) (xy 176.869607 69.840632) + (xy 176.79295 69.725908) (xy 176.792947 69.725904) (xy 176.695381 69.628338) (xy 176.695377 69.628335) + (xy 176.580653 69.551678) (xy 176.58064 69.551671) (xy 176.453168 69.498871) (xy 176.453158 69.498868) + (xy 176.317831 69.47195) (xy 176.317829 69.47195) (xy 176.179843 69.47195) (xy 176.179841 69.47195) + (xy 176.044513 69.498868) (xy 176.044503 69.498871) (xy 175.917031 69.551671) (xy 175.917018 69.551678) + (xy 175.802294 69.628335) (xy 175.80229 69.628338) (xy 175.704724 69.725904) (xy 175.704721 69.725908) + (xy 175.628064 69.840632) (xy 175.628057 69.840645) (xy 175.575257 69.968117) (xy 175.575254 69.968127) + (xy 175.567457 70.007327) (xy 175.535072 70.069238) (xy 175.474356 70.103812) (xy 175.404586 70.100071) + (xy 175.402507 70.099317) (xy 175.346319 70.07836) (xy 175.34632 70.07836) (xy 175.28672 70.071953) + (xy 175.286718 70.071952) (xy 175.28671 70.071952) (xy 175.286701 70.071952) (xy 173.690966 70.071952) + (xy 173.69096 70.071953) (xy 173.631353 70.07836) (xy 173.496508 70.128654) (xy 173.496501 70.128658) + (xy 173.381292 70.214904) (xy 173.381289 70.214907) (xy 173.295043 70.330116) (xy 173.295039 70.330123) + (xy 173.244745 70.464969) (xy 173.238338 70.524568) (xy 173.238337 70.524587) (xy 173.238337 71.126407) + (xy 173.218652 71.193446) (xy 173.202018 71.214088) (xy 172.07642 72.339686) (xy 172.076417 72.339689) + (xy 172.036292 72.379814) (xy 171.978399 72.437706) (xy 171.978398 72.437707) (xy 171.927738 72.513527) + (xy 171.927737 72.513529) (xy 171.901393 72.552953) (xy 171.901385 72.552968) (xy 171.848343 72.681024) + (xy 171.848341 72.681032) (xy 171.821299 72.816978) (xy 171.821299 72.96171) (xy 171.8213 72.961731) + (xy 171.8213 88.24097) (xy 171.801615 88.308009) (xy 171.784981 88.328651) (xy 171.740381 88.373251) + (xy 171.679058 88.406736) (xy 171.609366 88.401752) (xy 171.553433 88.35988) (xy 171.529016 88.294416) + (xy 171.5287 88.28557) (xy 171.5287 69.900442) (xy 171.528701 69.900421) (xy 171.528701 69.755688) + (xy 171.501658 69.619743) (xy 171.501657 69.619739) (xy 171.494741 69.603043) (xy 171.448611 69.491673) + (xy 171.441642 69.481243) (xy 171.439939 69.478694) (xy 171.419713 69.422753) (xy 171.417932 69.405811) + (xy 171.410674 69.336744) (xy 171.352179 69.156716) (xy 171.257533 68.992784) (xy 171.130871 68.852112) + (xy 171.089142 68.821794) (xy 170.977734 68.740851) (xy 170.977729 68.740848) (xy 170.804807 68.663857) + (xy 170.804802 68.663855) (xy 170.645503 68.629996) (xy 170.619646 68.6245) (xy 170.430354 68.6245) + (xy 170.404497 68.629996) (xy 170.245197 68.663855) (xy 170.245192 68.663857) (xy 170.07227 68.740848) + (xy 170.072265 68.740851) (xy 169.919129 68.852111) (xy 169.792466 68.992785) (xy 169.697821 69.156715) + (xy 169.697818 69.156722) (xy 169.639327 69.33674) (xy 169.639326 69.336744) (xy 169.61954 69.525) + (xy 169.639326 69.713256) (xy 169.639327 69.713259) (xy 169.697818 69.893277) (xy 169.697821 69.893284) + (xy 169.792467 70.057216) (xy 169.919129 70.197888) (xy 170.059962 70.300209) (xy 170.070185 70.307636) + (xy 170.112851 70.362965) (xy 170.1213 70.407954) (xy 170.1213 88.070759) (xy 170.101615 88.137798) + (xy 170.048811 88.183553) (xy 170.036309 88.188463) (xy 170.018729 88.194289) (xy 169.955666 88.215186) + (xy 169.955663 88.215187) (xy 169.806342 88.307289) (xy 169.682289 88.431342) (xy 169.590187 88.580663) + (xy 169.590185 88.580668) (xy 169.587684 88.588216) (xy 169.535001 88.747203) (xy 169.535001 88.747204) + (xy 169.535 88.747204) (xy 169.5245 88.849983) (xy 169.5245 91.950001) (xy 169.1505 91.950001) (xy 169.1505 64.945945) + (xy 169.1505 64.945943) (xy 169.130012 64.86948) (xy 169.109577 64.793215) (xy 169.069904 64.7245) + (xy 169.048219 64.68694) (xy 169.030521 64.656285) (xy 168.914385 64.540149) (xy 168.914374 64.540139) + (xy 160.03759 55.663355) (xy 160.037588 55.663352) (xy 159.918717 55.544481) (xy 159.918716 55.54448) + (xy 159.831904 55.49436) (xy 159.831904 55.494359) (xy 159.8319 55.494358) (xy 159.781785 55.465423) + (xy 159.629057 55.424499) (xy 159.470943 55.424499) (xy 159.463347 55.424499) (xy 159.463331 55.4245) + (xy 150.830097 55.4245) (xy 150.763058 55.404815) (xy 150.742416 55.388181) (xy 150.461416 55.107181) + (xy 150.427931 55.045858) (xy 150.432915 54.976166) (xy 150.474787 54.920233) (xy 150.540251 54.895816) + (xy 150.549097 54.8955) (xy 150.656048 54.8955) (xy 150.656049 54.8955) (xy 150.884981 54.857298) + (xy 151.104503 54.781936) (xy 151.308626 54.67147) (xy 151.323335 54.660022) (xy 151.414507 54.58906) + (xy 151.491784 54.528913) (xy 151.648979 54.358153) (xy 151.706191 54.270582) (xy 151.759337 54.225226) + (xy 151.828568 54.215802) (xy 151.891904 54.245304) (xy 151.913809 54.270583) (xy 151.971016 54.358147) + (xy 151.971019 54.358151) (xy 151.971021 54.358153) (xy 152.128216 54.528913) (xy 152.128219 54.528915) + (xy 152.128222 54.528918) (xy 152.311365 54.671464) (xy 152.311371 54.671468) (xy 152.311374 54.67147) + (xy 152.432614 54.737082) (xy 152.498319 54.77264) (xy 152.515497 54.781936) (xy 152.612977 54.815401) + (xy 152.735015 54.857297) (xy 152.735017 54.857297) (xy 152.735019 54.857298) (xy 152.963951 54.8955) + (xy 152.963952 54.8955) (xy 153.196048 54.8955) (xy 153.196049 54.8955) (xy 153.424981 54.857298) + (xy 153.644503 54.781936) (xy 153.848626 54.67147) (xy 153.863335 54.660022) (xy 153.954507 54.58906) + (xy 154.031784 54.528913) (xy 154.148921 54.401666) (xy 154.208806 54.365677) (xy 154.278645 54.367776) + (xy 154.336261 54.4073) (xy 154.350058 54.428238) (xy 154.372263 54.470747) (xy 154.494428 54.620571) + (xy 154.569339 54.681652) (xy 154.644249 54.742734) (xy 154.815594 54.832237) (xy 155.001448 54.885417) + (xy 155.114862 54.8955) (xy 155.11487 54.8955) (xy 156.12513 54.8955) (xy 156.125138 54.8955) (xy 156.238552 54.885417) + (xy 156.424406 54.832237) (xy 156.595751 54.742734) (xy 156.745571 54.620571) (xy 156.867734 54.470751) + (xy 156.867737 54.470747) (xy 156.888308 54.431364) (xy 156.936794 54.381056) (xy 157.004781 54.364948) + (xy 157.070685 54.388154) (xy 157.088073 54.404159) (xy 157.088313 54.40392) (xy 157.247636 54.563243) + (xy 157.247641 54.563247) (xy 157.335406 54.627011) (xy 157.425978 54.692815) (xy 157.523949 54.742734) + (xy 157.622393 54.792895) (xy 157.622396 54.792896) (xy 157.69537 54.816606) (xy 157.832049 54.861015) + (xy 158.049778 54.8955) (xy 159.948956 54.8955) (xy 160.015995 54.915185) (xy 160.036637 54.931819) + (xy 173.202016 68.097198) (xy 173.235501 68.158521) (xy 173.238335 68.184878) (xy 173.238335 68.320321) + (xy 173.238336 68.320328) (xy 173.244743 68.379935) (xy 173.295037 68.51478) (xy 173.295041 68.514787) + (xy 173.381287 68.629996) (xy 173.38129 68.629999) (xy 173.496499 68.716245) (xy 173.496506 68.716249) + (xy 173.631352 68.766543) (xy 173.631351 68.766543) (xy 173.638279 68.767287) (xy 173.690962 68.772952) + (xy 175.286707 68.772951) (xy 175.346318 68.766543) (xy 175.481166 68.716248) (xy 175.596381 68.629998) + (xy 175.682631 68.514783) (xy 175.732926 68.379935) (xy 175.739335 68.320325) (xy 175.739334 67.905127) + (xy 175.759018 67.83809) (xy 175.811822 67.792335) (xy 175.880981 67.782391) (xy 175.91086 67.792187) + (xy 175.911396 67.790894) (xy 175.985999 67.821795) (xy 176.044508 67.84603) (xy 176.044512 67.84603) + (xy 176.044513 67.846031) (xy 176.17984 67.87295) (xy 176.179843 67.87295) (xy 176.317831 67.87295) + (xy 176.408877 67.854839) (xy 176.453164 67.84603) (xy 176.580647 67.793225) (xy 176.695378 67.716564) + (xy 176.79295 67.618992) (xy 176.869611 67.504261) (xy 176.922416 67.376778) (xy 176.937659 67.300146) + (xy 176.949336 67.241445) (xy 176.949336 67.103454) (xy 176.922417 66.968127) (xy 176.922416 66.968126) + (xy 176.922416 66.968122) (xy 176.914704 66.949503) (xy 176.869614 66.840645) (xy 176.869607 66.840632) + (xy 176.79295 66.725908) (xy 176.792947 66.725904) (xy 176.695381 66.628338) (xy 176.695377 66.628335) + (xy 176.580653 66.551678) (xy 176.58064 66.551671) (xy 176.453168 66.498871) (xy 176.453158 66.498868) + (xy 176.317831 66.47195) (xy 176.317829 66.47195) (xy 176.179843 66.47195) (xy 176.179841 66.47195) + (xy 176.044513 66.498868) (xy 176.044503 66.498871) (xy 175.911396 66.554006) (xy 175.910361 66.551509) + (xy 175.853376 66.563371) (xy 175.788134 66.538366) (xy 175.746767 66.482058) (xy 175.739334 66.439771) + (xy 175.739334 66.059631) (xy 175.759019 65.992592) (xy 175.789019 65.960367) (xy 175.896382 65.879996) + (xy 175.982632 65.764781) (xy 176.032927 65.629933) (xy 176.039336 65.570323) (xy 176.039335 64.474578) + (xy 176.032927 64.414967) (xy 176.027435 64.400243) (xy 175.982633 64.280121) (xy 175.982629 64.280114) + (xy 175.896383 64.164905) (xy 175.89638 64.164902) (xy 175.781171 64.078656) (xy 175.781164 64.078652) + (xy 175.646318 64.028358) (xy 175.646319 64.028358) (xy 175.586719 64.021951) (xy 175.586717 64.02195) + (xy 175.586709 64.02195) (xy 175.5867 64.02195) (xy 174.690965 64.02195) (xy 174.690959 64.021951) + (xy 174.631352 64.028358) (xy 174.496507 64.078652) (xy 174.4965 64.078656) (xy 174.381291 64.164902) + (xy 174.381288 64.164905) (xy 174.295042 64.280114) (xy 174.295038 64.280121) (xy 174.244744 64.414967) + (xy 174.238337 64.474566) (xy 174.238337 64.474573) (xy 174.238336 64.474585) (xy 174.238336 65.447949) + (xy 174.218651 65.514988) (xy 174.165847 65.560743) (xy 174.114336 65.571949) (xy 173.690964 65.571949) + (xy 173.690958 65.57195) (xy 173.631351 65.578357) (xy 173.496506 65.628651) (xy 173.496499 65.628655) + (xy 173.38129 65.714901) (xy 173.381287 65.714904) (xy 173.295041 65.830113) (xy 173.295037 65.83012) + (xy 173.262025 65.918632) (xy 173.220154 65.974566) (xy 173.15469 65.998983) (xy 173.086417 65.984131) + (xy 173.058162 65.96298) (xy 165.784923 58.689741) (xy 172.3495 58.689741) (xy 172.367405 58.825732) + (xy 172.379452 58.917238) (xy 172.437257 59.132972) (xy 172.438842 59.138887) (xy 172.52665 59.350876) + (xy 172.526657 59.35089) (xy 172.549991 59.391305) (xy 172.609557 59.494478) (xy 172.641392 59.549617) + (xy 172.781081 59.731661) (xy 172.781089 59.73167) (xy 172.94333 59.893911) (xy 172.943338 59.893918) + (xy 172.943339 59.893919) (xy 172.986865 59.927318) (xy 173.125382 60.033607) (xy 173.125385 60.033608) + (xy 173.125388 60.033611) (xy 173.324112 60.148344) (xy 173.324117 60.148346) (xy 173.324123 60.148349) + (xy 173.41548 60.18619) (xy 173.536113 60.236158) (xy 173.757762 60.295548) (xy 173.985266 60.3255) + (xy 173.985273 60.3255) (xy 174.214727 60.3255) (xy 174.214734 60.3255) (xy 174.442238 60.295548) + (xy 174.663887 60.236158) (xy 174.869817 60.150858) (xy 174.875876 60.148349) (xy 174.875876 60.148348) + (xy 174.875888 60.148344) (xy 175.074612 60.033611) (xy 175.256661 59.893919) (xy 175.256665 59.893914) + (xy 175.25667 59.893911) (xy 175.418911 59.73167) (xy 175.418914 59.731665) (xy 175.418919 59.731661) + (xy 175.558611 59.549612) (xy 175.673344 59.350888) (xy 175.761158 59.138887) (xy 175.820548 58.917238) + (xy 175.8505 58.689734) (xy 175.8505 58.460266) (xy 175.820548 58.232762) (xy 175.761158 58.011113) + (xy 175.69839 57.859577) (xy 175.673349 57.799123) (xy 175.673346 57.799117) (xy 175.673344 57.799112) + (xy 175.558611 57.600388) (xy 175.558608 57.600385) (xy 175.558607 57.600382) (xy 175.433511 57.437356) + (xy 175.418919 57.418339) (xy 175.418918 57.418338) (xy 175.418911 57.41833) (xy 175.25667 57.256089) + (xy 175.256661 57.256081) (xy 175.074617 57.116392) (xy 175.051899 57.103276) (xy 174.944763 57.041421) + (xy 174.87589 57.001657) (xy 174.875876 57.00165) (xy 174.663887 56.913842) (xy 174.442238 56.854452) + (xy 174.404215 56.849446) (xy 174.214741 56.8245) (xy 174.214734 56.8245) (xy 173.985266 56.8245) + (xy 173.985258 56.8245) (xy 173.768715 56.853009) (xy 173.757762 56.854452) (xy 173.68883 56.872922) + (xy 173.536112 56.913842) (xy 173.324123 57.00165) (xy 173.324109 57.001657) (xy 173.125382 57.116392) + (xy 172.943338 57.256081) (xy 172.781081 57.418338) (xy 172.641392 57.600382) (xy 172.526657 57.799109) + (xy 172.52665 57.799123) (xy 172.441026 58.00584) (xy 172.438842 58.011113) (xy 172.379911 58.231051) + (xy 172.379453 58.232759) (xy 172.379451 58.23277) (xy 172.3495 58.460258) (xy 172.3495 58.689741) + (xy 165.784923 58.689741) (xy 161.723075 54.627893) (xy 161.68959 54.56657) (xy 161.694574 54.496878) + (xy 161.723076 54.45253) (xy 161.724173 54.451433) (xy 161.768242 54.407365) (xy 161.781117 54.389641) + (xy 161.790199 54.378552) (xy 161.808979 54.358153) (xy 161.851773 54.292649) (xy 161.855252 54.287605) + (xy 161.897813 54.229025) (xy 161.897813 54.229024) (xy 161.897815 54.229022) (xy 161.910629 54.203872) + (xy 161.917305 54.192346) (xy 161.921714 54.185598) (xy 161.935924 54.163849) (xy 161.964818 54.097974) + (xy 161.967869 54.091533) (xy 161.997895 54.032606) (xy 162.008574 53.999737) (xy 162.012943 53.988263) + (xy 162.013715 53.986503) (xy 162.029157 53.9513) (xy 162.045265 53.88769) (xy 162.04754 53.87981) + (xy 162.05277 53.863713) (xy 162.066015 53.822951) (xy 162.072407 53.782584) (xy 162.074666 53.771586) + (xy 162.086134 53.726305) (xy 162.091034 53.667165) (xy 162.092133 53.658045) (xy 162.1005 53.605222) + (xy 162.1005 53.558051) (xy 162.100924 53.54781) (xy 162.1053 53.495004) (xy 162.100923 53.442174) + (xy 162.1005 53.431936) (xy 162.1005 53.38478) (xy 162.097598 53.366457) (xy 162.092133 53.331953) + (xy 162.091034 53.322832) (xy 162.086134 53.263695) (xy 162.074668 53.218421) (xy 162.072407 53.207414) + (xy 162.066015 53.167049) (xy 162.047537 53.11018) (xy 162.045266 53.102314) (xy 162.029157 53.0387) + (xy 162.026537 53.032728) (xy 162.012944 53.001737) (xy 162.008569 52.990246) (xy 161.997895 52.957394) + (xy 161.967871 52.89847) (xy 161.964814 52.892014) (xy 161.935925 52.826153) (xy 161.925452 52.810123) + (xy 161.917299 52.797644) (xy 161.910626 52.786122) (xy 161.90455 52.774197) (xy 161.897815 52.760978) + (xy 161.855238 52.702376) (xy 161.851766 52.697338) (xy 161.808978 52.631845) (xy 161.790206 52.611454) + (xy 161.781119 52.60036) (xy 161.768242 52.582635) (xy 161.71259 52.526983) (xy 161.709042 52.523285) + (xy 161.651787 52.46109) (xy 161.651782 52.461085) (xy 161.634893 52.44794) (xy 161.623375 52.437768) + (xy 161.612361 52.426754) (xy 161.543596 52.376795) (xy 161.540318 52.374329) (xy 161.468635 52.318536) + (xy 161.468623 52.318528) (xy 161.455367 52.311354) (xy 161.441502 52.30262) (xy 161.434022 52.297185) + (xy 161.352629 52.255712) (xy 161.349958 52.254309) (xy 161.264503 52.208064) (xy 161.256233 52.205224) + (xy 161.24021 52.198432) (xy 161.237601 52.197102) (xy 161.144732 52.166928) (xy 161.142788 52.166279) + (xy 161.106064 52.153672) (xy 161.044981 52.132702) (xy 161.044971 52.1327) (xy 161.04497 52.1327) + (xy 161.042587 52.132302) (xy 161.032744 52.129897) (xy 161.03269 52.130123) (xy 161.027956 52.128986) + (xy 161.027951 52.128985) (xy 161.027945 52.128984) (xy 160.925384 52.112739) (xy 160.924375 52.112575) + (xy 160.81605 52.0945) (xy 160.816049 52.0945) (xy 160.810222 52.0945) (xy 158.049778 52.0945) (xy 157.994003 52.103334) + (xy 157.832047 52.128985) (xy 157.622396 52.197103) (xy 157.622393 52.197104) (xy 157.425974 52.297187) + (xy 157.247641 52.426752) (xy 157.247636 52.426756) (xy 157.088313 52.58608) (xy 157.087359 52.585126) + (xy 157.033967 52.61996) (xy 156.964099 52.620439) (xy 156.905063 52.583069) (xy 156.888308 52.558636) + (xy 156.873717 52.530703) (xy 156.867734 52.519249) (xy 156.792317 52.426758) (xy 156.745571 52.369428) + (xy 156.641509 52.284577) (xy 156.595751 52.247266) (xy 156.534115 52.21507) (xy 156.424405 52.157762) + (xy 156.266741 52.112649) (xy 156.238552 52.104583) (xy 156.238551 52.104582) (xy 156.238548 52.104582) + (xy 156.149662 52.09668) (xy 156.125138 52.0945) (xy 155.114862 52.0945) (xy 155.102599 52.09559) + (xy 155.001451 52.104582) (xy 154.815594 52.157762) (xy 154.64425 52.247265) (xy 154.494428 52.369428) + (xy 154.372265 52.51925) (xy 154.350058 52.561762) (xy 154.30157 52.612069) (xy 154.233582 52.628175) + (xy 154.16768 52.604967) (xy 154.148924 52.588335) (xy 154.031784 52.461087) (xy 154.031779 52.461083) + (xy 154.031777 52.461081) (xy 153.848634 52.318535) (xy 153.848628 52.318531) (xy 153.644504 52.208064) + (xy 153.644495 52.208061) (xy 153.424984 52.132702) (xy 153.248988 52.103334) (xy 153.196049 52.0945) + (xy 152.963951 52.0945) (xy 152.927995 52.1005) (xy 152.735015 52.132702) (xy 152.515504 52.208061) + (xy 152.515495 52.208064) (xy 152.311371 52.318531) (xy 152.311365 52.318535) (xy 152.128222 52.461081) + (xy 152.128219 52.461084) (xy 152.128216 52.461086) (xy 152.128216 52.461087) (xy 152.119249 52.470828) + (xy 151.971016 52.631852) (xy 151.913809 52.719416) (xy 151.860662 52.764773) (xy 151.791431 52.774197) + (xy 151.728095 52.744695) (xy 151.706191 52.719416) (xy 151.648983 52.631852) (xy 151.64898 52.631849) + (xy 151.648979 52.631847) (xy 151.491784 52.461087) (xy 151.491779 52.461083) (xy 151.491777 52.461081) + (xy 151.308634 52.318535) (xy 151.308628 52.318531) (xy 151.104504 52.208064) (xy 151.104495 52.208061) + (xy 150.884984 52.132702) (xy 150.708988 52.103334) (xy 150.656049 52.0945) (xy 150.423951 52.0945) + (xy 150.239005 52.125361) (xy 150.16964 52.116979) (xy 150.130915 52.090733) (xy 146.923052 48.88287) + (xy 148.4645 48.88287) (xy 148.464501 48.882876) (xy 148.470908 48.942483) (xy 148.521202 49.077328) + (xy 148.521206 49.077335) (xy 148.607452 49.192544) (xy 148.607455 49.192547) (xy 148.722664 49.278793) + (xy 148.722671 49.278797) (xy 148.857517 49.329091) (xy 148.857516 49.329091) (xy 148.864444 49.329835) + (xy 148.917127 49.3355) (xy 150.562872 49.335499) (xy 150.622483 49.329091) (xy 150.757331 49.278796) + (xy 150.872546 49.192546) (xy 150.958796 49.077331) (xy 151.009091 48.942483) (xy 151.0155 48.882873) + (xy 151.0155 48.88287) (xy 155.4645 48.88287) (xy 155.464501 48.882876) (xy 155.470908 48.942483) + (xy 155.521202 49.077328) (xy 155.521206 49.077335) (xy 155.607452 49.192544) (xy 155.607455 49.192547) + (xy 155.722664 49.278793) (xy 155.722671 49.278797) (xy 155.857517 49.329091) (xy 155.857516 49.329091) + (xy 155.864444 49.329835) (xy 155.917127 49.3355) (xy 157.562872 49.335499) (xy 157.622483 49.329091) + (xy 157.757331 49.278796) (xy 157.872546 49.192546) (xy 157.958796 49.077331) (xy 158.009091 48.942483) + (xy 158.0155 48.882873) (xy 158.015499 47.487128) (xy 158.009091 47.427517) (xy 157.999211 47.401028) + (xy 157.958797 47.292671) (xy 157.958793 47.292664) (xy 157.872547 47.177455) (xy 157.872544 47.177452) + (xy 157.757335 47.091206) (xy 157.757328 47.091202) (xy 157.622482 47.040908) (xy 157.622483 47.040908) + (xy 157.562883 47.034501) (xy 157.562881 47.0345) (xy 157.562873 47.0345) (xy 157.562864 47.0345) + (xy 155.917129 47.0345) (xy 155.917123 47.034501) (xy 155.857516 47.040908) (xy 155.722671 47.091202) + (xy 155.722664 47.091206) (xy 155.607455 47.177452) (xy 155.607452 47.177455) (xy 155.521206 47.292664) + (xy 155.521202 47.292671) (xy 155.470908 47.427517) (xy 155.466729 47.466392) (xy 155.464501 47.487123) + (xy 155.4645 47.487135) (xy 155.4645 48.88287) (xy 151.0155 48.88287) (xy 151.015499 47.487128) + (xy 151.009091 47.427517) (xy 150.999211 47.401028) (xy 150.958797 47.292671) (xy 150.958793 47.292664) + (xy 150.872547 47.177455) (xy 150.872544 47.177452) (xy 150.757335 47.091206) (xy 150.757328 47.091202) + (xy 150.622482 47.040908) (xy 150.622483 47.040908) (xy 150.562883 47.034501) (xy 150.562881 47.0345) + (xy 150.562873 47.0345) (xy 150.562864 47.0345) (xy 148.917129 47.0345) (xy 148.917123 47.034501) + (xy 148.857516 47.040908) (xy 148.722671 47.091202) (xy 148.722664 47.091206) (xy 148.607455 47.177452) + (xy 148.607452 47.177455) (xy 148.521206 47.292664) (xy 148.521202 47.292671) (xy 148.470908 47.427517) + (xy 148.466729 47.466392) (xy 148.464501 47.487123) (xy 148.4645 47.487135) (xy 148.4645 48.88287) + (xy 146.923052 48.88287) (xy 145.09594 47.055758) (xy 145.09592 47.055736) (xy 144.993585 46.953401) + (xy 144.878323 46.876386) (xy 144.750266 46.823344) (xy 144.750262 46.823343) (xy 144.748614 46.823015) + (xy 144.748607 46.823011) (xy 144.748607 46.823013) (xy 144.681458 46.809656) (xy 144.614309 46.796299) + (xy 144.614308 46.796299) (xy 144.475692 46.796299) (xy 144.469578 46.796299) (xy 144.469558 46.7963) + (xy 136.600122 46.7963) (xy 136.533083 46.776615) (xy 136.487328 46.723811) (xy 136.477384 46.654653) + (xy 136.496313 46.604479) (xy 136.513728 46.577821) (xy 136.535924 46.543849) (xy 136.629157 46.3313) + (xy 136.686134 46.106305) (xy 136.686184 46.105703) (xy 136.7053 45.875006) (xy 136.7053 45.874993) + (xy 136.686135 45.643702) (xy 136.686133 45.643691) (xy 136.629157 45.418699) (xy 136.535924 45.206151) + (xy 136.408983 45.011852) (xy 136.40898 45.011849) (xy 136.408979 45.011847) (xy 136.251784 44.841087) + (xy 136.07418 44.702853) (xy 136.033368 44.646143) (xy 136.029693 44.57637) (xy 136.064324 44.515687) + (xy 136.074181 44.507146) (xy 136.120885 44.470795) (xy 136.233852 44.38287) (xy 148.4645 44.38287) + (xy 148.464501 44.382876) (xy 148.470908 44.442483) (xy 148.521202 44.577328) (xy 148.521206 44.577335) + (xy 148.607452 44.692544) (xy 148.607455 44.692547) (xy 148.722664 44.778793) (xy 148.722671 44.778797) + (xy 148.857517 44.829091) (xy 148.857516 44.829091) (xy 148.864444 44.829835) (xy 148.917127 44.8355) + (xy 150.562872 44.835499) (xy 150.622483 44.829091) (xy 150.757331 44.778796) (xy 150.872546 44.692546) + (xy 150.958796 44.577331) (xy 151.009091 44.442483) (xy 151.0155 44.382873) (xy 151.0155 44.38287) + (xy 155.4645 44.38287) (xy 155.464501 44.382876) (xy 155.470908 44.442483) (xy 155.521202 44.577328) + (xy 155.521206 44.577335) (xy 155.607452 44.692544) (xy 155.607455 44.692547) (xy 155.722664 44.778793) + (xy 155.722671 44.778797) (xy 155.857517 44.829091) (xy 155.857516 44.829091) (xy 155.864444 44.829835) + (xy 155.917127 44.8355) (xy 157.562872 44.835499) (xy 157.622483 44.829091) (xy 157.757331 44.778796) + (xy 157.872546 44.692546) (xy 157.958796 44.577331) (xy 158.009091 44.442483) (xy 158.0155 44.382873) + (xy 158.015499 42.987128) (xy 158.009091 42.927517) (xy 157.990883 42.8787) (xy 157.958797 42.792671) + (xy 157.958793 42.792664) (xy 157.872547 42.677455) (xy 157.872544 42.677452) (xy 157.757335 42.591206) + (xy 157.757328 42.591202) (xy 157.622482 42.540908) (xy 157.622483 42.540908) (xy 157.562883 42.534501) + (xy 157.562881 42.5345) (xy 157.562873 42.5345) (xy 157.562864 42.5345) (xy 155.917129 42.5345) + (xy 155.917123 42.534501) (xy 155.857516 42.540908) (xy 155.722671 42.591202) (xy 155.722664 42.591206) + (xy 155.607455 42.677452) (xy 155.607452 42.677455) (xy 155.521206 42.792664) (xy 155.521202 42.792671) + (xy 155.470908 42.927517) (xy 155.464501 42.987116) (xy 155.464501 42.987123) (xy 155.4645 42.987135) + (xy 155.4645 44.38287) (xy 151.0155 44.38287) (xy 151.015499 42.987128) (xy 151.009091 42.927517) + (xy 150.990883 42.8787) (xy 150.958797 42.792671) (xy 150.958793 42.792664) (xy 150.872547 42.677455) + (xy 150.872544 42.677452) (xy 150.757335 42.591206) (xy 150.757328 42.591202) (xy 150.622482 42.540908) + (xy 150.622483 42.540908) (xy 150.562883 42.534501) (xy 150.562881 42.5345) (xy 150.562873 42.5345) + (xy 150.562864 42.5345) (xy 148.917129 42.5345) (xy 148.917123 42.534501) (xy 148.857516 42.540908) + (xy 148.722671 42.591202) (xy 148.722664 42.591206) (xy 148.607455 42.677452) (xy 148.607452 42.677455) + (xy 148.521206 42.792664) (xy 148.521202 42.792671) (xy 148.470908 42.927517) (xy 148.464501 42.987116) + (xy 148.464501 42.987123) (xy 148.4645 42.987135) (xy 148.4645 44.38287) (xy 136.233852 44.38287) + (xy 136.251784 44.368913) (xy 136.408979 44.198153) (xy 136.535924 44.003849) (xy 136.629157 43.7913) + (xy 136.686134 43.566305) (xy 136.686135 43.566297) (xy 136.7053 43.335006) (xy 136.7053 43.334993) + (xy 136.686135 43.103702) (xy 136.686133 43.103691) (xy 136.629157 42.878699) (xy 136.535924 42.666151) + (xy 136.408983 42.471852) (xy 136.40898 42.471849) (xy 136.408979 42.471847) (xy 136.251784 42.301087) + (xy 136.07418 42.162853) (xy 136.033368 42.106143) (xy 136.029693 42.03637) (xy 136.064324 41.975687) + (xy 136.074181 41.967146) (xy 136.251784 41.828913) (xy 136.408979 41.658153) (xy 136.535924 41.463849) + (xy 136.629157 41.2513) (xy 136.686134 41.026305) (xy 136.689673 40.983597) (xy 136.7053 40.795006) + (xy 136.7053 40.794993) (xy 136.686135 40.563702) (xy 136.686133 40.563691) (xy 136.629157 40.338699) + (xy 136.535924 40.126151) (xy 136.408983 39.931852) (xy 136.40898 39.931849) (xy 136.408979 39.931847) + (xy 136.251784 39.761087) (xy 136.07418 39.622853) (xy 136.033368 39.566143) (xy 136.029693 39.49637) + (xy 136.064324 39.435687) (xy 136.074181 39.427146) (xy 136.076296 39.4255) (xy 136.251784 39.288913) + (xy 136.408979 39.118153) (xy 136.466191 39.030582) (xy 136.519337 38.985226) (xy 136.588568 38.975802) + (xy 136.651904 39.005304) (xy 136.673809 39.030583) (xy 136.731016 39.118147) (xy 136.731019 39.118151) + (xy 136.731021 39.118153) (xy 136.888216 39.288913) (xy 136.888219 39.288915) (xy 136.888222 39.288918) + (xy 137.071365 39.431464) (xy 137.071371 39.431468) (xy 137.071374 39.43147) (xy 137.168177 39.483857) + (xy 137.255817 39.531286) (xy 137.275497 39.541936) (xy 137.34601 39.566143) (xy 137.495015 39.617297) + (xy 137.495017 39.617297) (xy 137.495019 39.617298) (xy 137.723951 39.6555) (xy 137.723952 39.6555) + (xy 137.956048 39.6555) (xy 137.956049 39.6555) (xy 138.184981 39.617298) (xy 138.404503 39.541936) + (xy 138.608626 39.43147) (xy 138.614182 39.427146) (xy 138.674021 39.380571) (xy 138.791784 39.288913) + (xy 138.948979 39.118153) (xy 139.006191 39.030582) (xy 139.059337 38.985226) (xy 139.128568 38.975802) + (xy 139.191904 39.005304) (xy 139.213809 39.030583) (xy 139.271016 39.118147) (xy 139.271019 39.118151) + (xy 139.271021 39.118153) (xy 139.428216 39.288913) (xy 139.428219 39.288915) (xy 139.428222 39.288918) + (xy 139.611365 39.431464) (xy 139.611371 39.431468) (xy 139.611374 39.43147) (xy 139.708177 39.483857) + (xy 139.795817 39.531286) (xy 139.815497 39.541936) (xy 139.88601 39.566143) (xy 140.035015 39.617297) + (xy 140.035017 39.617297) (xy 140.035019 39.617298) (xy 140.263951 39.6555) (xy 140.263952 39.6555) + (xy 140.496048 39.6555) (xy 140.496049 39.6555) (xy 140.724981 39.617298) (xy 140.944503 39.541936) + (xy 141.148626 39.43147) (xy 141.154182 39.427146) (xy 141.214021 39.380571) (xy 141.331784 39.288913) + (xy 141.488979 39.118153) (xy 141.546191 39.030582) (xy 141.599337 38.985226) (xy 141.668568 38.975802) + (xy 141.731904 39.005304) (xy 141.753809 39.030583) (xy 141.811016 39.118147) (xy 141.811019 39.118151) + (xy 141.811021 39.118153) (xy 141.968216 39.288913) (xy 141.968219 39.288915) (xy 141.968222 39.288918) + (xy 142.151365 39.431464) (xy 142.151371 39.431468) (xy 142.151374 39.43147) (xy 142.248177 39.483857) + (xy 142.335817 39.531286) (xy 142.355497 39.541936) (xy 142.42601 39.566143) (xy 142.575015 39.617297) + (xy 142.575017 39.617297) (xy 142.575019 39.617298) (xy 142.803951 39.6555) (xy 142.803952 39.6555) + (xy 143.036048 39.6555) (xy 143.036049 39.6555) (xy 143.264981 39.617298) (xy 143.484503 39.541936) + (xy 143.688626 39.43147) (xy 143.694182 39.427146) (xy 143.754021 39.380571) (xy 143.871784 39.288913) + (xy 144.028979 39.118153) (xy 144.086191 39.030582) (xy 144.139337 38.985226) (xy 144.208568 38.975802) + (xy 144.271904 39.005304) (xy 144.293809 39.030583) (xy 144.351016 39.118147) (xy 144.351019 39.118151) + (xy 144.351021 39.118153) (xy 144.508216 39.288913) (xy 144.508219 39.288915) (xy 144.508222 39.288918) + (xy 144.691365 39.431464) (xy 144.691371 39.431468) (xy 144.691374 39.43147) (xy 144.788177 39.483857) + (xy 144.875817 39.531286) (xy 144.895497 39.541936) (xy 144.96601 39.566143) (xy 145.115015 39.617297) + (xy 145.115017 39.617297) (xy 145.115019 39.617298) (xy 145.343951 39.6555) (xy 145.343952 39.6555) + (xy 145.576048 39.6555) (xy 145.576049 39.6555) (xy 145.804981 39.617298) (xy 146.024503 39.541936) + (xy 146.228626 39.43147) (xy 146.234182 39.427146) (xy 146.294021 39.380571) (xy 146.411784 39.288913) + (xy 146.568979 39.118153) (xy 146.626191 39.030582) (xy 146.679337 38.985226) (xy 146.748568 38.975802) + (xy 146.811904 39.005304) (xy 146.833809 39.030583) (xy 146.891016 39.118147) (xy 146.891019 39.118151) + (xy 146.891021 39.118153) (xy 147.048216 39.288913) (xy 147.048219 39.288915) (xy 147.048222 39.288918) + (xy 147.231365 39.431464) (xy 147.231371 39.431468) (xy 147.231374 39.43147) (xy 147.328177 39.483857) + (xy 147.415817 39.531286) (xy 147.435497 39.541936) (xy 147.50601 39.566143) (xy 147.655015 39.617297) + (xy 147.655017 39.617297) (xy 147.655019 39.617298) (xy 147.883951 39.6555) (xy 147.883952 39.6555) + (xy 148.116048 39.6555) (xy 148.116049 39.6555) (xy 148.344981 39.617298) (xy 148.564503 39.541936) + (xy 148.768626 39.43147) (xy 148.774182 39.427146) (xy 148.834021 39.380571) (xy 148.951784 39.288913) + (xy 149.108979 39.118153) (xy 149.235924 38.923849) (xy 149.329157 38.7113) (xy 149.386134 38.486305) + (xy 149.386135 38.486297) (xy 149.4053 38.255006) (xy 154.2147 38.255006) (xy 154.233864 38.486297) + (xy 154.233866 38.486308) (xy 154.290842 38.7113) (xy 154.384075 38.923848) (xy 154.511016 39.118147) + (xy 154.511019 39.118151) (xy 154.511021 39.118153) (xy 154.668216 39.288913) (xy 154.668219 39.288915) + (xy 154.668222 39.288918) (xy 154.851365 39.431464) (xy 154.851371 39.431468) (xy 154.851374 39.43147) + (xy 154.948177 39.483857) (xy 155.035817 39.531286) (xy 155.055497 39.541936) (xy 155.12601 39.566143) + (xy 155.275015 39.617297) (xy 155.275017 39.617297) (xy 155.275019 39.617298) (xy 155.503951 39.6555) + (xy 155.503952 39.6555) (xy 155.736048 39.6555) (xy 155.736049 39.6555) (xy 155.964981 39.617298) + (xy 156.184503 39.541936) (xy 156.388626 39.43147) (xy 156.394182 39.427146) (xy 156.454021 39.380571) + (xy 156.571784 39.288913) (xy 156.728979 39.118153) (xy 156.786191 39.030582) (xy 156.839337 38.985226) + (xy 156.908568 38.975802) (xy 156.971904 39.005304) (xy 156.993809 39.030583) (xy 157.051016 39.118147) + (xy 157.051019 39.118151) (xy 157.051021 39.118153) (xy 157.208216 39.288913) (xy 157.208219 39.288915) + (xy 157.208222 39.288918) (xy 157.391365 39.431464) (xy 157.391371 39.431468) (xy 157.391374 39.43147) + (xy 157.488177 39.483857) (xy 157.575817 39.531286) (xy 157.595497 39.541936) (xy 157.66601 39.566143) + (xy 157.815015 39.617297) (xy 157.815017 39.617297) (xy 157.815019 39.617298) (xy 158.043951 39.6555) + (xy 158.043952 39.6555) (xy 158.276048 39.6555) (xy 158.276049 39.6555) (xy 158.504981 39.617298) + (xy 158.724503 39.541936) (xy 158.928626 39.43147) (xy 158.934182 39.427146) (xy 158.994021 39.380571) + (xy 159.111784 39.288913) (xy 159.228921 39.161666) (xy 159.288806 39.125677) (xy 159.358645 39.127776) + (xy 159.416261 39.1673) (xy 159.430058 39.188238) (xy 159.452263 39.230747) (xy 159.574428 39.380571) + (xy 159.636844 39.431464) (xy 159.724249 39.502734) (xy 159.895594 39.592237) (xy 160.081448 39.645417) + (xy 160.194862 39.6555) (xy 160.19487 39.6555) (xy 161.20513 39.6555) (xy 161.205138 39.6555) (xy 161.318552 39.645417) + (xy 161.504406 39.592237) (xy 161.675751 39.502734) (xy 161.825571 39.380571) (xy 161.947734 39.230751) + (xy 162.037237 39.059406) (xy 162.090417 38.873552) (xy 162.1005 38.760138) (xy 162.1005 37.749862) + (xy 162.090417 37.636448) (xy 162.037237 37.450594) (xy 161.947734 37.279249) (xy 161.860011 37.171666) + (xy 161.825571 37.129428) (xy 161.7337 37.054518) (xy 161.675751 37.007266) (xy 161.661082 36.999604) + (xy 161.504405 36.917762) (xy 161.349845 36.873537) (xy 161.318552 36.864583) (xy 161.318551 36.864582) + (xy 161.318548 36.864582) (xy 161.229662 36.85668) (xy 161.205138 36.8545) (xy 160.194862 36.8545) + (xy 160.182599 36.85559) (xy 160.081451 36.864582) (xy 159.895594 36.917762) (xy 159.72425 37.007265) + (xy 159.574428 37.129428) (xy 159.452265 37.27925) (xy 159.430058 37.321762) (xy 159.38157 37.372069) + (xy 159.313582 37.388175) (xy 159.24768 37.364967) (xy 159.228924 37.348335) (xy 159.111784 37.221087) + (xy 159.111779 37.221083) (xy 159.111777 37.221081) (xy 158.928634 37.078535) (xy 158.928628 37.078531) + (xy 158.724504 36.968064) (xy 158.724495 36.968061) (xy 158.504984 36.892702) (xy 158.333282 36.86405) + (xy 158.276049 36.8545) (xy 158.043951 36.8545) (xy 157.998164 36.86214) (xy 157.815015 36.892702) + (xy 157.595504 36.968061) (xy 157.595495 36.968064) (xy 157.391371 37.078531) (xy 157.391365 37.078535) + (xy 157.208222 37.221081) (xy 157.208219 37.221084) (xy 157.051016 37.391852) (xy 156.993809 37.479416) + (xy 156.940662 37.524773) (xy 156.871431 37.534197) (xy 156.808095 37.504695) (xy 156.786191 37.479416) + (xy 156.728983 37.391852) (xy 156.72898 37.391849) (xy 156.728979 37.391847) (xy 156.571784 37.221087) + (xy 156.571779 37.221083) (xy 156.571777 37.221081) (xy 156.388634 37.078535) (xy 156.388628 37.078531) + (xy 156.184504 36.968064) (xy 156.184495 36.968061) (xy 155.964984 36.892702) (xy 155.793282 36.86405) + (xy 155.736049 36.8545) (xy 155.503951 36.8545) (xy 155.458164 36.86214) (xy 155.275015 36.892702) + (xy 155.055504 36.968061) (xy 155.055495 36.968064) (xy 154.851371 37.078531) (xy 154.851365 37.078535) + (xy 154.668222 37.221081) (xy 154.668219 37.221084) (xy 154.511016 37.391852) (xy 154.384075 37.586151) + (xy 154.290842 37.798699) (xy 154.233866 38.023691) (xy 154.233864 38.023702) (xy 154.2147 38.254993) + (xy 154.2147 38.255006) (xy 149.4053 38.255006) (xy 149.4053 38.254993) (xy 149.386135 38.023702) + (xy 149.386133 38.023691) (xy 149.329157 37.798699) (xy 149.235924 37.586151) (xy 149.108983 37.391852) + (xy 149.10898 37.391849) (xy 149.108979 37.391847) (xy 148.951784 37.221087) (xy 148.951779 37.221083) + (xy 148.951777 37.221081) (xy 148.768634 37.078535) (xy 148.768628 37.078531) (xy 148.564504 36.968064) + (xy 148.564495 36.968061) (xy 148.344984 36.892702) (xy 148.173282 36.86405) (xy 148.116049 36.8545) + (xy 147.883951 36.8545) (xy 147.838164 36.86214) (xy 147.655015 36.892702) (xy 147.435504 36.968061) + (xy 147.435495 36.968064) (xy 147.231371 37.078531) (xy 147.231365 37.078535) (xy 147.048222 37.221081) + (xy 147.048219 37.221084) (xy 146.891016 37.391852) (xy 146.833809 37.479416) (xy 146.780662 37.524773) + (xy 146.711431 37.534197) (xy 146.648095 37.504695) (xy 146.626191 37.479416) (xy 146.568983 37.391852) + (xy 146.56898 37.391849) (xy 146.568979 37.391847) (xy 146.411784 37.221087) (xy 146.411779 37.221083) + (xy 146.411777 37.221081) (xy 146.228634 37.078535) (xy 146.228628 37.078531) (xy 146.024504 36.968064) + (xy 146.024495 36.968061) (xy 145.804984 36.892702) (xy 145.633282 36.86405) (xy 145.576049 36.8545) + (xy 145.343951 36.8545) (xy 145.298164 36.86214) (xy 145.115015 36.892702) (xy 144.895504 36.968061) + (xy 144.895495 36.968064) (xy 144.691371 37.078531) (xy 144.691365 37.078535) (xy 144.508222 37.221081) + (xy 144.508219 37.221084) (xy 144.351016 37.391852) (xy 144.293809 37.479416) (xy 144.240662 37.524773) + (xy 144.171431 37.534197) (xy 144.108095 37.504695) (xy 144.086191 37.479416) (xy 144.028983 37.391852) + (xy 144.02898 37.391849) (xy 144.028979 37.391847) (xy 143.871784 37.221087) (xy 143.871779 37.221083) + (xy 143.871777 37.221081) (xy 143.688634 37.078535) (xy 143.688628 37.078531) (xy 143.484504 36.968064) + (xy 143.484495 36.968061) (xy 143.264984 36.892702) (xy 143.093282 36.86405) (xy 143.036049 36.8545) + (xy 142.803951 36.8545) (xy 142.758164 36.86214) (xy 142.575015 36.892702) (xy 142.355504 36.968061) + (xy 142.355495 36.968064) (xy 142.151371 37.078531) (xy 142.151365 37.078535) (xy 141.968222 37.221081) + (xy 141.968219 37.221084) (xy 141.811016 37.391852) (xy 141.753809 37.479416) (xy 141.700662 37.524773) + (xy 141.631431 37.534197) (xy 141.568095 37.504695) (xy 141.546191 37.479416) (xy 141.488983 37.391852) + (xy 141.48898 37.391849) (xy 141.488979 37.391847) (xy 141.331784 37.221087) (xy 141.331779 37.221083) + (xy 141.331777 37.221081) (xy 141.148634 37.078535) (xy 141.148628 37.078531) (xy 140.944504 36.968064) + (xy 140.944495 36.968061) (xy 140.724984 36.892702) (xy 140.553282 36.86405) (xy 140.496049 36.8545) + (xy 140.263951 36.8545) (xy 140.206718 36.86405) (xy 140.035016 36.892702) (xy 139.9831 36.910524) + (xy 139.913301 36.913672) (xy 139.855159 36.880923) (xy 137.78759 34.813355) (xy 137.787588 34.813352) + (xy 137.668717 34.694481) (xy 137.668716 34.69448) (xy 137.619657 34.666156) (xy 137.571442 34.61559) + (xy 137.558218 34.546983) (xy 137.584186 34.482118) (xy 137.6411 34.441589) (xy 137.68173 34.434769) + (xy 159.950992 34.448192) (xy 159.952596 34.448204) (xy 160.113994 34.450562) (xy 160.126135 34.451336) + (xy 160.447606 34.487738) (xy 160.461281 34.490069) (xy 160.742235 34.554348) (xy 160.775806 34.562029) + (xy 160.789161 34.565884) (xy 160.797194 34.568699) (xy 161.093644 34.672589) (xy 161.106476 34.677911) + (xy 161.397114 34.818028) (xy 161.409257 34.824745) (xy 161.682392 34.996516) (xy 161.693709 35.004551) + (xy 161.945898 35.205806) (xy 161.95626 35.215072) (xy 162.184331 35.443281) (xy 162.19359 35.453648) + (xy 162.394692 35.705954) (xy 162.402725 35.717281) (xy 162.53372 35.925858) (xy 162.574321 35.990505) + (xy 162.581042 36.002672) (xy 162.720978 36.29338) (xy 162.726296 36.306222) (xy 162.810108 36.545839) + (xy 162.827368 36.595185) (xy 162.832822 36.610776) (xy 162.836668 36.624134) (xy 162.908432 36.938676) + (xy 162.91076 36.952379) (xy 162.946969 37.273858) (xy 162.947736 37.286006) (xy 162.949988 37.447332) + (xy 162.95 37.449063) (xy 162.95 51.774986) (xy 162.95236 51.943348) (xy 162.990054 52.2779) (xy 162.990056 52.277912) + (xy 162.990057 52.277915) (xy 162.999836 52.32076) (xy 163.064977 52.606164) (xy 163.06498 52.606172) + (xy 163.176179 52.923958) (xy 163.322259 53.227297) (xy 163.359858 53.287135) (xy 163.50139 53.512382) + (xy 163.711311 53.775615) (xy 163.949385 54.013689) (xy 164.212618 54.22361) (xy 164.495773 54.401528) + (xy 164.497702 54.40274) (xy 164.598815 54.451433) (xy 164.801045 54.548822) (xy 165.019026 54.625097) + (xy 165.118827 54.660019) (xy 165.118835 54.660022) (xy 165.118838 54.660022) (xy 165.118839 54.660023) + (xy 165.447085 54.734943) (xy 165.447094 54.734944) (xy 165.447099 54.734945) (xy 165.58474 54.750453) + (xy 165.781656 54.77264) (xy 165.95 54.775) (xy 174.999118 54.775) (xy 175.000855 54.775011) (xy 175.162269 54.777274) + (xy 175.17439 54.778041) (xy 175.478553 54.812312) (xy 175.495992 54.814277) (xy 175.5097 54.816606) + (xy 175.739583 54.869075) (xy 175.812654 54.885753) (xy 175.824366 54.888426) (xy 175.837725 54.892273) + (xy 176.142392 54.998881) (xy 176.155228 55.004199) (xy 176.432642 55.137794) (xy 176.446025 55.144239) + (xy 176.458193 55.150964) (xy 176.71911 55.314909) (xy 176.731486 55.322685) (xy 176.742824 55.33073) + (xy 176.885222 55.444288) (xy 176.995173 55.531971) (xy 177.005541 55.541237) (xy 177.233762 55.769458) + (xy 177.243028 55.779826) (xy 177.444267 56.032172) (xy 177.452314 56.043513) (xy 177.624034 56.316804) + (xy 177.63076 56.328974) (xy 177.770798 56.619766) (xy 177.77612 56.632613) (xy 177.882724 56.93727) + (xy 177.886573 56.950633) (xy 177.958393 57.265299) (xy 177.960722 57.279007) (xy 177.996957 57.600597) + (xy 177.997725 57.612743) (xy 177.999988 57.774144) (xy 178 57.775882) (xy 178 63.90576) (xy 177.980315 63.972799) + (xy 177.927511 64.018554) (xy 177.862746 64.02905) (xy 177.85632 64.028359) (xy 177.79671 64.02195) + (xy 177.796702 64.02195) (xy 176.900966 64.02195) (xy 176.90096 64.021951) (xy 176.841353 64.028358) + (xy 176.706508 64.078652) (xy 176.706501 64.078656) (xy 176.591292 64.164902) (xy 176.591289 64.164905) + (xy 176.505043 64.280114) (xy 176.505039 64.280121) (xy 176.454745 64.414967) (xy 176.448338 64.474566) + (xy 176.448338 64.474573) (xy 176.448337 64.474585) (xy 176.448337 65.57032) (xy 176.448338 65.570326) + (xy 176.454745 65.629933) (xy 176.505039 65.764778) (xy 176.505043 65.764785) (xy 176.591289 65.879994) + (xy 176.591292 65.879997) (xy 176.706501 65.966243) (xy 176.706508 65.966247) (xy 176.841354 66.016541) + (xy 176.841353 66.016541) (xy 176.848281 66.017285) (xy 176.900964 66.02295) (xy 177.796709 66.022949) + (xy 177.85632 66.016541) (xy 177.856321 66.01654) (xy 177.862746 66.01585) (xy 177.931506 66.028257) + (xy 177.982642 66.075868) (xy 178 66.13914) (xy 178 71.20576) (xy 177.980315 71.272799) (xy 177.927511 71.318554) + (xy 177.862746 71.32905) (xy 177.855272 71.328246) (xy 177.796708 71.32195) (xy 177.7967 71.32195) + (xy 176.900964 71.32195) (xy 176.900958 71.321951) (xy 176.841351 71.328358) (xy 176.706506 71.378652) + (xy 176.706499 71.378656) (xy 176.59129 71.464902) (xy 176.591287 71.464905) (xy 176.505041 71.580114) + (xy 176.505037 71.580121) (xy 176.454743 71.714967) (xy 176.448336 71.774566) (xy 176.448336 71.774573) + (xy 176.448335 71.774585) (xy 176.448335 72.87032) (xy 176.448336 72.870326) (xy 176.454743 72.929933) + (xy 176.505037 73.064778) (xy 176.505041 73.064785) (xy 176.591287 73.179994) (xy 176.59129 73.179997) + (xy 176.706499 73.266243) (xy 176.706506 73.266247) (xy 176.841352 73.316541) (xy 176.841351 73.316541) + (xy 176.848279 73.317285) (xy 176.900962 73.32295) (xy 177.796707 73.322949) (xy 177.856318 73.316541) + (xy 177.856317 73.316541) (xy 177.862747 73.31585) (xy 177.931506 73.328257) (xy 177.982643 73.375868) + (xy 178 73.43914) (xy 178 119.924117) (xy 177.999988 119.925855) (xy 177.997725 120.087256) (xy 177.996957 120.099402) + (xy 177.960722 120.420992) (xy 177.958393 120.4347) (xy 177.886573 120.749366) (xy 177.882724 120.762729) + (xy 177.77612 121.067386) (xy 177.770798 121.080233) (xy 177.63076 121.371025) (xy 177.624034 121.383195) + (xy 177.452314 121.656486) (xy 177.444267 121.667827) (xy 177.243028 121.920173) (xy 177.233762 121.930541) + (xy 177.005541 122.158762) (xy 176.995173 122.168028) (xy 176.742827 122.369267) (xy 176.731486 122.377314) + (xy 176.458195 122.549034) (xy 176.446025 122.55576) (xy 176.155233 122.695798) (xy 176.142386 122.70112) + (xy 175.837729 122.807724) (xy 175.824366 122.811573) (xy 175.5097 122.883393) (xy 175.495992 122.885722) + (xy 175.174402 122.921957) (xy 175.162256 122.922725) (xy 175.000856 122.924988) (xy 174.999118 122.925) + (xy 128.714688 122.925) (xy 128.713034 122.924989) (xy 128.556158 122.922896) (xy 128.544314 122.92217) + (xy 128.231619 122.887929) (xy 128.218285 122.885728) (xy 127.911985 122.817839) (xy 127.898968 122.8142) + (xy 127.601862 122.713373) (xy 127.589319 122.708338) (xy 127.304224 122.575435) (xy 127.293708 122.569905) + (xy 127.158516 122.490316) (xy 127.157107 122.489474) (xy 121.470797 119.039741) (xy 172.3995 119.039741) + (xy 172.407306 119.099029) (xy 172.429452 119.267238) (xy 172.474156 119.434076) (xy 172.488842 119.488887) + (xy 172.57665 119.700876) (xy 172.576657 119.70089) (xy 172.691392 119.899617) (xy 172.831081 120.081661) + (xy 172.831089 120.08167) (xy 172.99333 120.243911) (xy 172.993338 120.243918) (xy 173.175382 120.383607) + (xy 173.175385 120.383608) (xy 173.175388 120.383611) (xy 173.374112 120.498344) (xy 173.374117 120.498346) + (xy 173.374123 120.498349) (xy 173.46548 120.53619) (xy 173.586113 120.586158) (xy 173.807762 120.645548) + (xy 174.035266 120.6755) (xy 174.035273 120.6755) (xy 174.264727 120.6755) (xy 174.264734 120.6755) + (xy 174.492238 120.645548) (xy 174.713887 120.586158) (xy 174.925888 120.498344) (xy 175.124612 120.383611) + (xy 175.306661 120.243919) (xy 175.306665 120.243914) (xy 175.30667 120.243911) (xy 175.468911 120.08167) + (xy 175.468914 120.081665) (xy 175.468919 120.081661) (xy 175.608611 119.899612) (xy 175.723344 119.700888) + (xy 175.811158 119.488887) (xy 175.870548 119.267238) (xy 175.9005 119.039734) (xy 175.9005 118.810266) + (xy 175.870548 118.582762) (xy 175.811158 118.361113) (xy 175.76119 118.24048) (xy 175.723349 118.149123) + (xy 175.723346 118.149117) (xy 175.723344 118.149112) (xy 175.608611 117.950388) (xy 175.608608 117.950385) + (xy 175.608607 117.950382) (xy 175.506283 117.817033) (xy 175.468919 117.768339) (xy 175.468918 117.768338) + (xy 175.468911 117.76833) (xy 175.30667 117.606089) (xy 175.306661 117.606081) (xy 175.124617 117.466392) + (xy 175.059817 117.42898) (xy 174.925888 117.351656) (xy 174.925876 117.35165) (xy 174.713887 117.263842) + (xy 174.492238 117.204452) (xy 174.454215 117.199446) (xy 174.264741 117.1745) (xy 174.264734 117.1745) + (xy 174.035266 117.1745) (xy 174.035258 117.1745) (xy 173.818715 117.203009) (xy 173.807762 117.204452) + (xy 173.714076 117.229554) (xy 173.586112 117.263842) (xy 173.374123 117.35165) (xy 173.374109 117.351657) + (xy 173.175382 117.466392) (xy 172.993338 117.606081) (xy 172.831081 117.768338) (xy 172.691392 117.950382) + (xy 172.576657 118.149109) (xy 172.57665 118.149123) (xy 172.488842 118.361112) (xy 172.429453 118.582759) + (xy 172.429451 118.58277) (xy 172.3995 118.810258) (xy 172.3995 119.039741) (xy 121.470797 119.039741) + (xy 104.668671 108.846337) (xy 104.585235 108.798307) (xy 104.585232 108.798305) (xy 104.585229 108.798304) + (xy 104.531036 108.778341) (xy 104.404559 108.73175) (xy 104.214488 108.700966) (xy 104.037473 108.706599) + (xy 104.022047 108.70709) (xy 104.022044 108.70709) (xy 104.02204 108.707091) (xy 103.834326 108.749893) + (xy 103.834325 108.749893) (xy 103.834324 108.749894) (xy 103.746285 108.788846) (xy 103.658246 108.827799) + (xy 103.500312 108.937931) (xy 103.500306 108.937937) (xy 103.366348 109.076227) (xy 103.366347 109.076228) + (xy 103.261301 109.237577) (xy 103.261294 109.23759) (xy 103.256482 109.249475) (xy 103.189033 109.41605) + (xy 103.189032 109.416054) (xy 103.189032 109.416055) (xy 103.152222 109.60504) (xy 103.149999 109.701311) + (xy 103.125001 138.181838) (xy 103.124964 138.184769) (xy 103.122852 138.270891) (xy 103.120506 138.292043) + (xy 103.086636 138.462314) (xy 103.07958 138.485576) (xy 103.013723 138.644565) (xy 103.002263 138.666003) + (xy 102.906662 138.809076) (xy 102.891242 138.827866) (xy 102.769556 138.949551) (xy 102.750766 138.964971) + (xy 102.60769 139.060571) (xy 102.586251 139.07203) (xy 102.427267 139.137882) (xy 102.404006 139.144938) + (xy 102.233722 139.17881) (xy 102.212584 139.181155) (xy 102.128134 139.183234) (xy 102.126542 139.183274) + (xy 102.123491 139.183312) (xy 50.275882 139.183312) (xy 50.274144 139.1833) (xy 50.112743 139.181037) + (xy 50.100597 139.180269) (xy 49.779007 139.144034) (xy 49.765299 139.141705) (xy 49.450632 139.069885) + (xy 49.43727 139.066036) (xy 49.132612 138.959432) (xy 49.119764 138.95411) (xy 48.828972 138.814072) + (xy 48.816803 138.807346) (xy 48.543511 138.635627) (xy 48.532169 138.62758) (xy 48.279823 138.42634) + (xy 48.269455 138.417074) (xy 48.041233 138.188853) (xy 48.031967 138.178485) (xy 47.898895 138.011619) + (xy 47.830723 137.926135) (xy 47.822679 137.914798) (xy 47.650959 137.641507) (xy 47.644233 137.629337) + (xy 47.511909 137.354568) (xy 47.504185 137.33853) (xy 47.498871 137.3257) (xy 47.392268 137.021048) + (xy 47.388419 137.007688) (xy 47.372703 136.938831) (xy 47.316592 136.692997) (xy 47.314268 136.67932) + (xy 47.278031 136.357717) (xy 47.277263 136.345562) (xy 47.275012 136.184172) (xy 47.275 136.182443) + (xy 47.275 135.173053) (xy 49.5745 135.173053) (xy 49.599446 135.362527) (xy 49.604452 135.40055) + (xy 49.629194 135.492888) (xy 49.663842 135.622199) (xy 49.75165 135.834188) (xy 49.751657 135.834202) + (xy 49.866392 136.032929) (xy 50.006081 136.214973) (xy 50.006089 136.214982) (xy 50.16833 136.377223) + (xy 50.168338 136.37723) (xy 50.350382 136.516919) (xy 50.350385 136.51692) (xy 50.350388 136.516923) + (xy 50.549112 136.631656) (xy 50.549117 136.631658) (xy 50.549123 136.631661) (xy 50.64048 136.669502) + (xy 50.761113 136.71947) (xy 50.982762 136.77886) (xy 51.210266 136.808812) (xy 51.210273 136.808812) + (xy 51.439727 136.808812) (xy 51.439734 136.808812) (xy 51.667238 136.77886) (xy 51.888887 136.71947) + (xy 52.100888 136.631656) (xy 52.299612 136.516923) (xy 52.481661 136.377231) (xy 52.481665 136.377226) + (xy 52.48167 136.377223) (xy 52.643911 136.214982) (xy 52.643914 136.214977) (xy 52.643919 136.214973) + (xy 52.783611 136.032924) (xy 52.898344 135.8342) (xy 52.986158 135.622199) (xy 53.045548 135.40055) + (xy 53.0755 135.173046) (xy 53.0755 134.943578) (xy 53.045548 134.716074) (xy 52.986158 134.494425) + (xy 52.898344 134.282424) (xy 52.783611 134.0837) (xy 52.783608 134.083697) (xy 52.783607 134.083694) + (xy 52.643918 133.90165) (xy 52.643911 133.901642) (xy 52.48167 133.739401) (xy 52.481661 133.739393) + (xy 52.299617 133.599704) (xy 52.10089 133.484969) (xy 52.100876 133.484962) (xy 51.888887 133.397154) + (xy 51.667238 133.337764) (xy 51.629215 133.332758) (xy 51.439741 133.307812) (xy 51.439734 133.307812) + (xy 51.210266 133.307812) (xy 51.210258 133.307812) (xy 51.00305 133.335093) (xy 50.982762 133.337764) + (xy 50.889076 133.362866) (xy 50.761112 133.397154) (xy 50.549123 133.484962) (xy 50.549109 133.484969) + (xy 50.350382 133.599704) (xy 50.168338 133.739393) (xy 50.006081 133.90165) (xy 49.866392 134.083694) + (xy 49.751657 134.282421) (xy 49.75165 134.282435) (xy 49.663842 134.494424) (xy 49.604453 134.716071) + (xy 49.604451 134.716082) (xy 49.5745 134.94357) (xy 49.5745 135.173053) (xy 47.275 135.173053) + (xy 47.275 127.201269) (xy 55.682658 127.201269) (xy 55.696103 127.286162) (xy 55.709756 127.37236) + (xy 55.763285 127.537104) (xy 55.841926 127.691447) (xy 55.943744 127.831587) (xy 56.06623 127.954073) + (xy 56.20637 128.055891) (xy 56.360713 128.134532) (xy 56.525457 128.188061) (xy 56.696547 128.215159) + (xy 56.696548 128.215159) (xy 56.869768 128.215159) (xy 56.869769 128.215159) (xy 57.040859 128.188061) + (xy 57.205603 128.134532) (xy 57.359946 128.055891) (xy 57.500086 127.954073) (xy 57.622572 127.831587) + (xy 57.72439 127.691447) (xy 57.803031 127.537104) (xy 57.85656 127.37236) (xy 57.883658 127.20127) + (xy 57.883658 127.028048) (xy 57.85656 126.856958) (xy 57.803031 126.692214) (xy 57.72439 126.537871) + (xy 57.622572 126.397731) (xy 57.500086 126.275245) (xy 57.359946 126.173427) (xy 57.205603 126.094786) + (xy 57.040859 126.041257) (xy 57.040857 126.041256) (xy 57.040856 126.041256) (xy 56.909429 126.02044) + (xy 56.869769 126.014159) (xy 56.696547 126.014159) (xy 56.656886 126.02044) (xy 56.52546 126.041256) + (xy 56.36071 126.094787) (xy 56.206369 126.173427) (xy 56.146287 126.21708) (xy 56.06623 126.275245) + (xy 56.066228 126.275247) (xy 56.066227 126.275247) (xy 55.943746 126.397728) (xy 55.943746 126.397729) + (xy 55.943744 126.397731) (xy 55.91203 126.441381) (xy 55.841926 126.53787) (xy 55.763286 126.692211) + (xy 55.709755 126.856961) (xy 55.682658 127.028048) (xy 55.682658 127.201269) (xy 47.275 127.201269) + (xy 47.275 119.609184) (xy 47.275012 119.607452) (xy 47.275817 119.549822) (xy 47.277266 119.446179) + (xy 47.278029 119.434076) (xy 47.314208 119.112706) (xy 47.316531 119.099029) (xy 47.388236 118.784592) + (xy 47.392068 118.771275) (xy 47.498508 118.466812) (xy 47.503809 118.454003) (xy 47.643637 118.163369) + (xy 47.650338 118.151232) (xy 47.8218 117.878065) (xy 47.829813 117.866758) (xy 48.030766 117.614479) + (xy 48.039992 117.604142) (xy 48.267911 117.375924) (xy 48.278238 117.366683) (xy 48.530248 117.165403) + (xy 48.541538 117.157379) (xy 48.814478 116.985558) (xy 48.826614 116.978836) (xy 49.117068 116.838625) + (xy 49.129874 116.833307) (xy 49.434178 116.726474) (xy 49.447499 116.72262) (xy 49.761848 116.650501) + (xy 49.775534 116.648159) (xy 50.096815 116.611564) (xy 50.108944 116.610782) (xy 50.270346 116.608323) + (xy 50.27183 116.608311) (xy 94.920236 116.549826) (xy 94.92188 116.549834) (xy 95.083439 116.55181) + (xy 95.095653 116.552563) (xy 95.41755 116.588436) (xy 95.431244 116.590746) (xy 95.746281 116.662279) + (xy 95.759652 116.666116) (xy 96.064691 116.772502) (xy 96.077539 116.77781) (xy 96.368715 116.917698) + (xy 96.380902 116.924419) (xy 96.467661 116.978832) (xy 96.654574 117.096058) (xy 96.665928 117.1041) + (xy 96.918649 117.30533) (xy 96.929021 117.314587) (xy 97.043563 117.42898) (xy 97.157593 117.542862) + (xy 97.166873 117.553233) (xy 97.368428 117.805686) (xy 97.376487 117.817033) (xy 97.54848 118.090478) + (xy 97.555217 118.102655) (xy 97.695485 118.393648) (xy 97.700815 118.406504) (xy 97.807592 118.711385) + (xy 97.811448 118.724758) (xy 97.883387 119.039684) (xy 97.88572 119.053404) (xy 97.922012 119.375254) + (xy 97.922781 119.387454) (xy 97.924988 119.548943) (xy 97.925 119.550637) (xy 97.925 135.583312) + (xy 97.927409 135.681562) (xy 97.92741 135.681573) (xy 97.965745 135.874291) (xy 97.965746 135.874293) + (xy 97.965747 135.874296) (xy 98.040949 136.055845) (xy 98.040951 136.055848) (xy 98.040954 136.055854) + (xy 98.149141 136.217762) (xy 98.150124 136.219233) (xy 98.289076 136.358184) (xy 98.452466 136.467357) + (xy 98.452472 136.467359) (xy 98.452473 136.46736) (xy 98.519547 136.495142) (xy 98.634015 136.542556) + (xy 98.681533 136.552008) (xy 98.826738 136.580892) (xy 98.826744 136.580892) (xy 98.826746 136.580893) + (xy 98.832204 136.581027) (xy 98.924983 136.583312) (xy 98.925 136.583312) (xy 99.974992 136.583312) + (xy 99.975 136.583312) (xy 100.073255 136.580905) (xy 100.265988 136.542568) (xy 100.44754 136.467367) + (xy 100.610932 136.358193) (xy 100.749886 136.219241) (xy 100.859062 136.05585) (xy 100.934264 135.8743) + (xy 100.972603 135.681567) (xy 100.975 135.583312) (xy 101 108.962775) (xy 100.997747 108.86449) + (xy 100.959387 108.671698) (xy 100.884147 108.490097) (xy 100.774918 108.326667) (xy 100.774916 108.326665) + (xy 100.774915 108.326663) (xy 100.635902 108.187692) (xy 100.587734 108.15552) (xy 100.472438 108.078512) + (xy 100.472437 108.078511) (xy 100.472435 108.07851) (xy 100.290816 108.003326) (xy 100.290813 108.003325) + (xy 100.098011 107.965024) (xy 99.999725 107.962775) (xy 99.999706 107.962775) (xy 58.47665 107.974175) + (xy 58.474976 107.974164) (xy 58.313574 107.972029) (xy 58.301361 107.971264) (xy 57.979721 107.935106) + (xy 57.96601 107.93278) (xy 57.651252 107.861016) (xy 57.637887 107.857168) (xy 57.333169 107.750616) + (xy 57.320318 107.745297) (xy 57.029424 107.605279) (xy 57.01725 107.598553) (xy 56.743882 107.426851) + (xy 56.732538 107.418805) (xy 56.655534 107.357416) (xy 56.480105 107.21756) (xy 56.469745 107.208305) + (xy 56.24143 106.980051) (xy 56.232165 106.969685) (xy 56.226191 106.962196) (xy 56.08724 106.787996) + (xy 56.030863 106.717318) (xy 56.022813 106.705976) (xy 55.88494 106.486598) (xy 55.851024 106.432633) + (xy 55.844305 106.420478) (xy 55.70421 106.129619) (xy 55.698893 106.116785) (xy 55.59225 105.81207) + (xy 55.588402 105.798713) (xy 55.516552 105.483966) (xy 55.514227 105.470283) (xy 55.47798 105.148618) + (xy 55.477213 105.136444) (xy 55.475012 104.975055) (xy 55.475 104.973364) (xy 55.475 104.089741) + (xy 57.8245 104.089741) (xy 57.844638 104.242693) (xy 57.854452 104.317238) (xy 57.883461 104.425501) + (xy 57.913842 104.538887) (xy 58.00165 104.750876) (xy 58.001657 104.75089) (xy 58.116392 104.949617) + (xy 58.256081 105.131661) (xy 58.256089 105.13167) (xy 58.41833 105.293911) (xy 58.418338 105.293918) + (xy 58.600382 105.433607) (xy 58.600385 105.433608) (xy 58.600388 105.433611) (xy 58.799112 105.548344) + (xy 58.799117 105.548346) (xy 58.799123 105.548349) (xy 58.89048 105.58619) (xy 59.011113 105.636158) + (xy 59.232762 105.695548) (xy 59.460266 105.7255) (xy 59.460273 105.7255) (xy 59.689727 105.7255) + (xy 59.689734 105.7255) (xy 59.917238 105.695548) (xy 60.138887 105.636158) (xy 60.350888 105.548344) + (xy 60.549612 105.433611) (xy 60.731661 105.293919) (xy 60.731665 105.293914) (xy 60.73167 105.293911) + (xy 60.893911 105.13167) (xy 60.893914 105.131665) (xy 60.893919 105.131661) (xy 61.033611 104.949612) + (xy 61.148344 104.750888) (xy 61.236158 104.538887) (xy 61.295548 104.317238) (xy 61.3255 104.089734) + (xy 61.3255 103.860266) (xy 61.295548 103.632762) (xy 61.236158 103.411113) (xy 61.162056 103.232216) + (xy 61.148349 103.199123) (xy 61.148346 103.199117) (xy 61.148344 103.199112) (xy 61.033611 103.000388) + (xy 61.033608 103.000385) (xy 61.033607 103.000382) (xy 60.915894 102.846977) (xy 60.893919 102.818339) + (xy 60.893918 102.818338) (xy 60.893911 102.81833) (xy 60.73167 102.656089) (xy 60.731661 102.656081) + (xy 60.549617 102.516392) (xy 60.541566 102.511744) (xy 60.438883 102.45246) (xy 60.35089 102.401657) + (xy 60.350876 102.40165) (xy 60.138887 102.313842) (xy 59.917238 102.254452) (xy 59.879215 102.249446) + (xy 59.689741 102.2245) (xy 59.689734 102.2245) (xy 59.460266 102.2245) (xy 59.460258 102.2245) + (xy 59.243715 102.253009) (xy 59.232762 102.254452) (xy 59.139076 102.279554) (xy 59.011112 102.313842) + (xy 58.799123 102.40165) (xy 58.799109 102.401657) (xy 58.600382 102.516392) (xy 58.418338 102.656081) + (xy 58.256081 102.818338) (xy 58.116392 103.000382) (xy 58.001657 103.199109) (xy 58.00165 103.199123) + (xy 57.913842 103.411112) (xy 57.894272 103.484151) (xy 57.863097 103.6005) (xy 57.854453 103.632759) + (xy 57.854451 103.63277) (xy 57.8245 103.860258) (xy 57.8245 104.089741) (xy 55.475 104.089741) + (xy 55.475 97.915005) (xy 64.857498 97.915005) (xy 64.87742 98.123639) (xy 64.877423 98.123656) + (xy 64.93647 98.324755) (xy 65.032518 98.511062) (xy 65.162079 98.67581) (xy 65.162083 98.675814) + (xy 65.320481 98.813068) (xy 65.320492 98.813076) (xy 65.502002 98.91787) (xy 65.502004 98.917871) + (xy 65.700075 98.986424) (xy 65.907541 99.016253) (xy 66.116902 99.00628) (xy 66.320593 98.956865) + (xy 66.511251 98.869795) (xy 66.681985 98.748215) (xy 66.69065 98.739128) (xy 66.730858 98.696959) + (xy 66.826625 98.596522) (xy 66.939943 98.420196) (xy 67.017843 98.225611) (xy 67.05751 98.019799) + (xy 67.06 97.915) (xy 67.05751 97.810201) (xy 67.017843 97.604389) (xy 66.939943 97.409804) (xy 66.826625 97.233478) + (xy 66.717616 97.119153) (xy 66.681987 97.081786) (xy 66.681984 97.081784) (xy 66.511253 96.960206) + (xy 66.511251 96.960205) (xy 66.320593 96.873135) (xy 66.116902 96.82372) (xy 65.927489 96.814697) + (xy 65.907543 96.813747) (xy 65.907542 96.813747) (xy 65.907541 96.813747) (xy 65.700075 96.843576) + (xy 65.700074 96.843576) (xy 65.700069 96.843577) (xy 65.502002 96.912129) (xy 65.320492 97.016923) + (xy 65.320481 97.016931) (xy 65.162083 97.154185) (xy 65.162079 97.154189) (xy 65.032518 97.318937) + (xy 64.93647 97.505244) (xy 64.877423 97.706343) (xy 64.87742 97.70636) (xy 64.857498 97.914994) + (xy 64.857498 97.915005) (xy 55.475 97.915005) (xy 55.475 88.618754) (xy 61.812499 88.618754) (xy 61.817032 88.664773) + (xy 61.85244 89.024288) (xy 61.852443 89.024305) (xy 61.931941 89.42397) (xy 62.050236 89.813937) + (xy 62.206183 90.190426) (xy 62.206185 90.190431) (xy 62.398273 90.549801) (xy 62.398284 90.549819) + (xy 62.624673 90.888634) (xy 62.624683 90.888648) (xy 62.883203 91.203655) (xy 63.171344 91.491796) + (xy 63.171349 91.4918) (xy 63.17135 91.491801) (xy 63.486357 91.750321) (xy 63.825187 91.97672) + (xy 63.825196 91.976725) (xy 63.825198 91.976726) (xy 64.184568 92.168814) (xy 64.18457 92.168814) + (xy 64.184576 92.168818) (xy 64.561064 92.324764) (xy 64.951024 92.443057) (xy 65.350701 92.522558) + (xy 65.756246 92.562501) (xy 66.163751 92.562501) (xy 66.163754 92.562501) (xy 66.569299 92.522558) + (xy 66.968976 92.443057) (xy 67.358936 92.324764) (xy 67.735424 92.168818) (xy 68.094813 91.97672) + (xy 68.433643 91.750321) (xy 68.74865 91.491801) (xy 69.036801 91.20365) (xy 69.295321 90.888643) + (xy 69.52172 90.549813) (xy 69.713818 90.190424) (xy 69.869764 89.813936) (xy 69.988057 89.423976) + (xy 70.067558 89.024299) (xy 70.107501 88.618754) (xy 70.11 88.415) (xy 70.107501 88.211246) (xy 70.067558 87.805701) + (xy 69.988057 87.406024) (xy 69.869764 87.016064) (xy 69.713818 86.639576) (xy 69.692396 86.599499) + (xy 69.521726 86.280198) (xy 69.521725 86.280196) (xy 69.52172 86.280187) (xy 69.295321 85.941357) + (xy 69.036801 85.62635) (xy 69.0368 85.626349) (xy 69.036796 85.626344) (xy 68.748655 85.338203) + (xy 68.433648 85.079683) (xy 68.433647 85.079682) (xy 68.433643 85.079679) (xy 68.094813 84.85328) + (xy 68.094808 84.853277) (xy 68.094801 84.853273) (xy 67.735431 84.661185) (xy 67.735426 84.661183) + (xy 67.358937 84.505236) (xy 66.96897 84.386941) (xy 66.569305 84.307443) (xy 66.569288 84.30744) + (xy 66.262612 84.277235) (xy 66.163754 84.267499) (xy 66.163751 84.267499) (xy 65.756249 84.267499) + (xy 65.756246 84.267499) (xy 65.664816 84.276504) (xy 65.350711 84.30744) (xy 65.350694 84.307443) + (xy 64.951029 84.386941) (xy 64.561062 84.505236) (xy 64.184573 84.661183) (xy 64.184568 84.661185) + (xy 63.825198 84.853273) (xy 63.82518 84.853284) (xy 63.486365 85.079673) (xy 63.486351 85.079683) + (xy 63.171344 85.338203) (xy 62.883203 85.626344) (xy 62.624683 85.941351) (xy 62.624673 85.941365) + (xy 62.398284 86.28018) (xy 62.398273 86.280198) (xy 62.206185 86.639568) (xy 62.206183 86.639573) + (xy 62.050236 87.016062) (xy 61.931941 87.406029) (xy 61.852443 87.805694) (xy 61.85244 87.805711) + (xy 61.826336 88.070759) (xy 61.812499 88.211246) (xy 61.812499 88.618754) (xy 55.475 88.618754) + (xy 55.475 78.915005) (xy 64.857499 78.915005) (xy 64.877421 79.123639) (xy 64.877424 79.123656) + (xy 64.936471 79.324754) (xy 65.032517 79.511057) (xy 65.162081 79.675812) (xy 65.162087 79.675818) + (xy 65.320487 79.813071) (xy 65.481199 79.905858) (xy 65.502005 79.91787) (xy 65.700076 79.986423) + (xy 65.907541 80.016252) (xy 66.116902 80.006279) (xy 66.320593 79.956864) (xy 66.511251 79.869794) + (xy 66.681985 79.748215) (xy 66.826624 79.596521) (xy 66.939942 79.420195) (xy 67.017842 79.22561) + (xy 67.057509 79.019799) (xy 67.059999 78.915) (xy 67.057509 78.810201) (xy 67.017842 78.60439) + (xy 66.939942 78.409805) (xy 66.826624 78.233479) (xy 66.826623 78.233478) (xy 66.826622 78.233476) + (xy 66.681992 78.081791) (xy 66.681986 78.081786) (xy 66.681985 78.081785) (xy 66.511251 77.960206) + (xy 66.511249 77.960205) (xy 66.51125 77.960205) (xy 66.422653 77.919745) (xy 66.320593 77.873136) + (xy 66.116902 77.823721) (xy 65.927489 77.814698) (xy 65.907543 77.813748) (xy 65.907542 77.813748) + (xy 65.907541 77.813748) (xy 65.700076 77.843577) (xy 65.700075 77.843577) (xy 65.70007 77.843578) + (xy 65.502003 77.91213) (xy 65.320487 78.016928) (xy 65.162087 78.154181) (xy 65.162081 78.154187) + (xy 65.032517 78.318942) (xy 64.936471 78.505245) (xy 64.877424 78.706343) (xy 64.877421 78.70636) + (xy 64.857499 78.914994) (xy 64.857499 78.915005) (xy 55.475 78.915005) (xy 55.475 49.039741) (xy 57.5995 49.039741) + (xy 57.623704 49.223576) (xy 57.629452 49.267238) (xy 57.647743 49.3355) (xy 57.688842 49.488887) + (xy 57.77665 49.700876) (xy 57.776657 49.70089) (xy 57.801823 49.744479) (xy 57.879244 49.878577) + (xy 57.891392 49.899617) (xy 58.031081 50.081661) (xy 58.031089 50.08167) (xy 58.19333 50.243911) + (xy 58.193338 50.243918) (xy 58.193339 50.243919) (xy 58.228552 50.270939) (xy 58.375382 50.383607) + (xy 58.375385 50.383608) (xy 58.375388 50.383611) (xy 58.574112 50.498344) (xy 58.574117 50.498346) + (xy 58.574123 50.498349) (xy 58.591477 50.505537) (xy 58.786113 50.586158) (xy 59.007762 50.645548) + (xy 59.235266 50.6755) (xy 59.235273 50.6755) (xy 59.464727 50.6755) (xy 59.464734 50.6755) (xy 59.692238 50.645548) + (xy 59.913887 50.586158) (xy 60.125888 50.498344) (xy 60.324612 50.383611) (xy 60.506661 50.243919) + (xy 60.506665 50.243914) (xy 60.50667 50.243911) (xy 60.668911 50.08167) (xy 60.668914 50.081665) + (xy 60.668919 50.081661) (xy 60.808611 49.899612) (xy 60.923344 49.700888) (xy 61.011158 49.488887) + (xy 61.070548 49.267238) (xy 61.1005 49.039734) (xy 61.1005 48.810266) (xy 61.070548 48.582762) + (xy 61.011158 48.361113) (xy 60.925093 48.153334) (xy 60.923349 48.149123) (xy 60.923346 48.149117) + (xy 60.923344 48.149112) (xy 60.808611 47.950388) (xy 60.808608 47.950385) (xy 60.808607 47.950382) + (xy 60.668918 47.768338) (xy 60.668911 47.76833) (xy 60.50667 47.606089) (xy 60.506661 47.606081) + (xy 60.324617 47.466392) (xy 60.257283 47.427517) (xy 60.125888 47.351656) (xy 60.125876 47.35165) + (xy 59.913887 47.263842) (xy 59.692238 47.204452) (xy 59.654215 47.199446) (xy 59.464741 47.1745) + (xy 59.464734 47.1745) (xy 59.235266 47.1745) (xy 59.235258 47.1745) (xy 59.018715 47.203009) (xy 59.007762 47.204452) + (xy 58.914076 47.229554) (xy 58.786112 47.263842) (xy 58.574123 47.35165) (xy 58.574109 47.351657) + (xy 58.375382 47.466392) (xy 58.193338 47.606081) (xy 58.031081 47.768338) (xy 57.891392 47.950382) + (xy 57.776657 48.149109) (xy 57.77665 48.149123) (xy 57.688842 48.361112) (xy 57.629453 48.582759) + (xy 57.629451 48.58277) (xy 57.5995 48.810258) (xy 57.5995 49.039741) (xy 55.475 49.039741) (xy 55.475 48.207813) + (xy 55.475012 48.206058) (xy 55.475734 48.155034) (xy 55.477392 48.037958) (xy 55.478222 48.025293) + (xy 55.517505 47.690583) (xy 55.520027 47.676343) (xy 55.597849 47.349369) (xy 55.602017 47.33551) + (xy 55.617679 47.292671) (xy 55.717417 47.01985) (xy 55.723172 47.006568) (xy 55.770817 46.912146) + (xy 55.874591 46.706489) (xy 55.881847 46.693984) (xy 56.067208 46.413608) (xy 56.075875 46.40203) + (xy 56.29266 46.145166) (xy 56.30262 46.134675) (xy 56.332889 46.106308) (xy 56.524203 45.927011) + (xy 56.547859 45.904841) (xy 56.558976 45.895579) (xy 56.829337 45.695895) (xy 56.841451 45.687997) + (xy 57.13326 45.521179) (xy 57.146197 45.514755) (xy 57.455458 45.383092) (xy 57.469068 45.378215) + (xy 57.792422 45.283247) (xy 57.804772 45.2803) (xy 57.970133 45.249704) (xy 57.971612 45.24944) + (xy 121.223355 34.467894) (xy 121.224357 34.467728) (xy 121.344841 34.448489) (xy 121.353959 34.447379) + (xy 121.598087 34.426792) (xy 121.607246 34.426361) (xy 121.729188 34.425159) (xy 121.730334 34.425154) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 99.764228 51.745185) (xy 99.809983 51.797989) (xy 99.819927 51.867147) (xy 99.800998 51.917321) + (xy 99.740826 52.009421) (xy 99.640936 52.237146) (xy 99.579892 52.478203) (xy 99.57989 52.478215) + (xy 99.559357 52.726022) (xy 99.559357 52.726033) (xy 99.57989 52.97384) (xy 99.579892 52.973852) + (xy 99.640936 53.214909) (xy 99.740826 53.442634) (xy 99.876833 53.65081) (xy 99.905883 53.682366) + (xy 100.045256 53.833766) (xy 100.241491 53.986502) (xy 100.46019 54.104856) (xy 100.695386 54.185599) + (xy 100.940665 54.226528) (xy 101.189335 54.226528) (xy 101.434614 54.185599) (xy 101.66981 54.104856) + (xy 101.888509 53.986502) (xy 102.084744 53.833766) (xy 102.253164 53.650813) (xy 102.389173 53.442635) + (xy 102.489063 53.214909) (xy 102.550108 52.973849) (xy 102.550902 52.964259) (xy 102.576056 52.899076) + (xy 102.632457 52.857837) (xy 102.674479 52.8505) (xy 107.649903 52.8505) (xy 107.716942 52.870185) + (xy 107.737584 52.886819) (xy 109.563181 54.712416) (xy 109.596666 54.773739) (xy 109.5995 54.800097) + (xy 109.5995 58.896034) (xy 109.579815 58.963073) (xy 109.527011 59.008828) (xy 109.514505 59.01374) + (xy 109.441303 59.037997) (xy 109.441294 59.038001) (xy 109.296959 59.127029) (xy 109.296955 59.127032) + (xy 109.212181 59.211807) (xy 109.150858 59.245292) (xy 109.081166 59.240308) (xy 109.025233 59.198436) + (xy 109.000816 59.132972) (xy 109.0005 59.124126) (xy 109.0005 57.250088) (xy 109.000501 57.250075) + (xy 109.000501 57.081972) (xy 108.989498 57.040909) (xy 108.959577 56.929244) (xy 108.916397 56.854453) + (xy 108.880524 56.792318) (xy 108.880518 56.79231) (xy 108.20159 56.113382) (xy 107.521515 55.433308) + (xy 107.488031 55.371986) (xy 107.488992 55.315187) (xy 107.489061 55.314912) (xy 107.489063 55.314909) + (xy 107.550108 55.073849) (xy 107.551958 55.051528) (xy 107.570643 54.826033) (xy 107.570643 54.826022) + (xy 107.550109 54.578215) (xy 107.550107 54.578203) (xy 107.489063 54.337146) (xy 107.389173 54.109421) + (xy 107.253166 53.901245) (xy 107.143948 53.782603) (xy 107.084744 53.71829) (xy 106.888509 53.565554) + (xy 106.888507 53.565553) (xy 106.888506 53.565552) (xy 106.669811 53.4472) (xy 106.669802 53.447197) + (xy 106.434616 53.366457) (xy 106.189335 53.325528) (xy 105.940665 53.325528) (xy 105.695383 53.366457) + (xy 105.460197 53.447197) (xy 105.460188 53.4472) (xy 105.241493 53.565552) (xy 105.045257 53.718289) + (xy 104.876833 53.901245) (xy 104.740826 54.109421) (xy 104.640936 54.337146) (xy 104.579892 54.578203) + (xy 104.579891 54.578213) (xy 104.579267 54.585743) (xy 104.554112 54.650927) (xy 104.497709 54.692164) + (xy 104.455691 54.6995) (xy 97.674309 54.6995) (xy 97.60727 54.679815) (xy 97.561515 54.627011) + (xy 97.550733 54.585743) (xy 97.550411 54.581872) (xy 97.550108 54.578207) (xy 97.544954 54.557853) + (xy 97.489063 54.337146) (xy 97.389173 54.109421) (xy 97.253166 53.901245) (xy 97.143948 53.782603) + (xy 97.084744 53.71829) (xy 96.888509 53.565554) (xy 96.888507 53.565553) (xy 96.888506 53.565552) + (xy 96.669811 53.4472) (xy 96.669802 53.447197) (xy 96.434616 53.366457) (xy 96.189335 53.325528) + (xy 95.940665 53.325528) (xy 95.695383 53.366457) (xy 95.460197 53.447197) (xy 95.460188 53.4472) + (xy 95.241493 53.565552) (xy 95.045257 53.718289) (xy 94.876833 53.901245) (xy 94.740826 54.109421) + (xy 94.640936 54.337146) (xy 94.579892 54.578203) (xy 94.57989 54.578215) (xy 94.559357 54.826022) + (xy 94.559357 54.826033) (xy 94.57989 55.07384) (xy 94.579892 55.073852) (xy 94.640936 55.314909) + (xy 94.740826 55.542634) (xy 94.876833 55.75081) (xy 94.904892 55.78129) (xy 95.045256 55.933766) + (xy 95.241491 56.086502) (xy 95.46019 56.204856) (xy 95.695386 56.285599) (xy 95.940665 56.326528) + (xy 96.189335 56.326528) (xy 96.434614 56.285599) (xy 96.66981 56.204856) (xy 96.888509 56.086502) + (xy 97.084744 55.933766) (xy 97.08475 55.93376) (xy 97.085289 55.933265) (xy 97.085557 55.933132) + (xy 97.088792 55.930615) (xy 97.08931 55.93128) (xy 97.147945 55.902347) (xy 97.169266 55.9005) + (xy 104.960734 55.9005) (xy 105.027773 55.920185) (xy 105.044711 55.933265) (xy 105.045249 55.93376) + (xy 105.045254 55.933764) (xy 105.045256 55.933766) (xy 105.241491 56.086502) (xy 105.46019 56.204856) + (xy 105.695386 56.285599) (xy 105.940665 56.326528) (xy 106.189335 56.326528) (xy 106.434608 56.2856) + (xy 106.434607 56.2856) (xy 106.434614 56.285599) (xy 106.540607 56.24921) (xy 106.610402 56.246061) + (xy 106.668548 56.278811) (xy 107.763181 57.373444) (xy 107.796666 57.434767) (xy 107.7995 57.461125) + (xy 107.7995 58.108313) (xy 107.779815 58.175352) (xy 107.727011 58.221107) (xy 107.657853 58.231051) + (xy 107.594297 58.202026) (xy 107.565015 58.164608) (xy 107.506231 58.049239) (xy 107.404414 57.9091) + (xy 107.281928 57.786614) (xy 107.141788 57.684796) (xy 106.987445 57.606155) (xy 106.822701 57.552626) + (xy 106.822699 57.552625) (xy 106.822698 57.552625) (xy 106.691271 57.531809) (xy 106.651611 57.525528) + (xy 106.478389 57.525528) (xy 106.438728 57.531809) (xy 106.307302 57.552625) (xy 106.142552 57.606156) + (xy 105.988211 57.684796) (xy 105.915232 57.737819) (xy 105.848072 57.786614) (xy 105.84807 57.786616) + (xy 105.848069 57.786616) (xy 105.725588 57.909097) (xy 105.725588 57.909098) (xy 105.725586 57.9091) + (xy 105.681859 57.969284) (xy 105.623768 58.049239) (xy 105.545128 58.20358) (xy 105.545127 58.203582) + (xy 105.545127 58.203583) (xy 105.535633 58.232802) (xy 105.491597 58.36833) (xy 105.468837 58.512034) + (xy 105.4645 58.539417) (xy 105.4645 58.712639) (xy 105.491598 58.883729) (xy 105.543151 59.042393) + (xy 105.545128 59.048475) (xy 105.557977 59.073693) (xy 105.623768 59.202816) (xy 105.725586 59.342956) + (xy 105.848072 59.465442) (xy 105.988212 59.56726) (xy 106.142555 59.645901) (xy 106.307299 59.69943) + (xy 106.478389 59.726528) (xy 106.47839 59.726528) (xy 106.65161 59.726528) (xy 106.651611 59.726528) + (xy 106.822701 59.69943) (xy 106.987445 59.645901) (xy 107.141788 59.56726) (xy 107.281928 59.465442) + (xy 107.404414 59.342956) (xy 107.506232 59.202816) (xy 107.565015 59.087447) (xy 107.612989 59.036651) + (xy 107.68081 59.019856) (xy 107.746945 59.042393) (xy 107.790397 59.097108) (xy 107.7995 59.143742) + (xy 107.7995 61.13833) (xy 107.799499 61.138348) (xy 107.799499 61.304054) (xy 107.799498 61.304054) + (xy 107.840423 61.456786) (xy 107.851466 61.475911) (xy 107.851467 61.475916) (xy 107.851468 61.475916) + (xy 107.919475 61.593709) (xy 107.919481 61.593717) (xy 108.038349 61.712585) (xy 108.038355 61.71259) + (xy 108.290139 61.964374) (xy 108.290149 61.964385) (xy 108.294479 61.968715) (xy 108.29448 61.968716) + (xy 108.406284 62.08052) (xy 108.490355 62.129058) (xy 108.490356 62.129059) (xy 108.543209 62.159574) + (xy 108.54321 62.159574) (xy 108.543215 62.159577) (xy 108.695943 62.2005) (xy 109.226985 62.2005) + (xy 109.294024 62.220185) (xy 109.339779 62.272989) (xy 109.349723 62.342147) (xy 109.320698 62.405703) + (xy 109.301923 62.421666) (xy 109.302623 62.422551) (xy 109.296955 62.427032) (xy 109.177032 62.546955) + (xy 109.177029 62.546959) (xy 109.088001 62.691294) (xy 109.087996 62.691305) (xy 109.034651 62.85229) + (xy 109.0245 62.951647) (xy 109.0245 63.424901) (xy 109.004815 63.49194) (xy 108.988181 63.512582) + (xy 108.355581 64.145183) (xy 107.089632 65.411133) (xy 106.462584 66.038181) (xy 106.401261 66.071666) + (xy 106.374903 66.0745) (xy 95.725097 66.0745) (xy 95.658058 66.054815) (xy 95.637416 66.038181) + (xy 93.061818 63.462583) (xy 93.028333 63.40126) (xy 93.025499 63.374902) (xy 93.025499 62.901662) + (xy 93.025498 62.901644) (xy 93.015349 62.802292) (xy 93.015348 62.802289) (xy 93.012431 62.793485) + (xy 92.962003 62.641303) (xy 92.961999 62.641297) (xy 92.961998 62.641294) (xy 92.87297 62.496959) + (xy 92.872967 62.496955) (xy 92.753044 62.377032) (xy 92.75304 62.377029) (xy 92.608705 62.288001) + (xy 92.608699 62.287998) (xy 92.608697 62.287997) (xy 92.598599 62.284651) (xy 92.447709 62.234651) + (xy 92.348346 62.2245) (xy 91.501662 62.2245) (xy 91.501644 62.224501) (xy 91.402292 62.23465) (xy 91.402289 62.234651) + (xy 91.241305 62.287996) (xy 91.241294 62.288001) (xy 91.096959 62.377029) (xy 91.096955 62.377032) + (xy 90.977032 62.496955) (xy 90.977029 62.496959) (xy 90.888001 62.641294) (xy 90.887996 62.641305) + (xy 90.834651 62.80229) (xy 90.8245 62.901647) (xy 90.8245 63.448337) (xy 90.824501 63.448355) (xy 90.83465 63.547707) + (xy 90.834651 63.54771) (xy 90.887996 63.708694) (xy 90.888001 63.708705) (xy 90.977029 63.85304) + (xy 90.977032 63.853044) (xy 91.096957 63.972969) (xy 91.241294 64.061998) (xy 91.241297 64.061999) + (xy 91.241303 64.062003) (xy 91.402292 64.115349) (xy 91.501655 64.1255) (xy 91.974902 64.125499) + (xy 92.041941 64.145183) (xy 92.062583 64.161818) (xy 94.940139 67.039374) (xy 94.940149 67.039385) + (xy 94.944479 67.043715) (xy 94.94448 67.043716) (xy 95.056284 67.15552) (xy 95.056286 67.155521) + (xy 95.05629 67.155524) (xy 95.144957 67.206715) (xy 95.193216 67.234577) (xy 95.305019 67.264534) + (xy 95.345942 67.2755) (xy 95.345943 67.2755) (xy 106.588331 67.2755) (xy 106.588347 67.275501) + (xy 106.595943 67.275501) (xy 106.754054 67.275501) (xy 106.754057 67.275501) (xy 106.906785 67.234577) + (xy 106.956904 67.205639) (xy 107.043716 67.15552) (xy 107.15552 67.043716) (xy 107.15552 67.043714) + (xy 107.165728 67.033507) (xy 107.165729 67.033504) (xy 108.510201 65.689033) (xy 117.834357 65.689033) + (xy 117.85489 65.93684) (xy 117.854892 65.936852) (xy 117.915936 66.177909) (xy 118.015826 66.405634) + (xy 118.151833 66.61381) (xy 118.151836 66.613813) (xy 118.320256 66.796766) (xy 118.516491 66.949502) + (xy 118.516493 66.949503) (xy 118.671707 67.033501) (xy 118.73519 67.067856) (xy 118.970386 67.148599) + (xy 119.215665 67.189528) (xy 119.464335 67.189528) (xy 119.709614 67.148599) (xy 119.94481 67.067856) + (xy 120.163509 66.949502) (xy 120.359744 66.796766) (xy 120.528164 66.613813) (xy 120.664173 66.405635) + (xy 120.764063 66.177909) (xy 120.797958 66.044059) (xy 120.833498 65.983904) (xy 120.895918 65.952512) + (xy 120.918164 65.9505) (xy 125.249903 65.9505) (xy 125.316942 65.970185) (xy 125.337584 65.986819) + (xy 127.938181 68.587416) (xy 127.971666 68.648739) (xy 127.9745 68.675097) (xy 127.9745 72.921034) + (xy 127.954815 72.988073) (xy 127.902011 73.033828) (xy 127.889505 73.03874) (xy 127.816303 73.062997) + (xy 127.816294 73.063001) (xy 127.671959 73.152029) (xy 127.671955 73.152032) (xy 127.552032 73.271955) + (xy 127.552029 73.271959) (xy 127.463001 73.416294) (xy 127.462996 73.416305) (xy 127.409651 73.57729) + (xy 127.3995 73.676647) (xy 127.3995 74.223337) (xy 127.399501 74.223355) (xy 127.40965 74.322707) + (xy 127.409651 74.32271) (xy 127.462996 74.483694) (xy 127.463001 74.483705) (xy 127.552029 74.62804) + (xy 127.552032 74.628044) (xy 127.671955 74.747967) (xy 127.671959 74.74797) (xy 127.816294 74.836998) + (xy 127.816297 74.836999) (xy 127.816303 74.837003) (xy 127.977292 74.890349) (xy 128.076655 74.9005) + (xy 128.923344 74.900499) (xy 128.923352 74.900498) (xy 128.923355 74.900498) (xy 128.97776 74.89494) + (xy 129.022708 74.890349) (xy 129.183697 74.837003) (xy 129.328044 74.747968) (xy 129.447968 74.628044) + (xy 129.537003 74.483697) (xy 129.590349 74.322708) (xy 129.6005 74.223345) (xy 129.600499 73.676656) + (xy 129.595391 73.626655) (xy 129.590349 73.577292) (xy 129.590348 73.577289) (xy 129.570609 73.51772) + (xy 129.537003 73.416303) (xy 129.536999 73.416297) (xy 129.536998 73.416294) (xy 129.44797 73.271959) + (xy 129.447967 73.271955) (xy 129.328043 73.152031) (xy 129.234402 73.094272) (xy 129.187678 73.042324) + (xy 129.1755 72.988734) (xy 129.1755 68.464059) (xy 129.175501 68.464046) (xy 129.175501 68.295945) + (xy 129.175501 68.295943) (xy 129.134577 68.143215) (xy 129.088759 68.063856) (xy 129.05552 68.006284) + (xy 128.943716 67.89448) (xy 128.943715 67.894479) (xy 128.939385 67.890149) (xy 128.939374 67.890139) + (xy 126.03759 64.988355) (xy 126.037588 64.988352) (xy 125.918716 64.86948) (xy 125.918714 64.869479) + (xy 125.845192 64.827032) (xy 125.831904 64.81936) (xy 125.831904 64.819359) (xy 125.8319 64.819358) + (xy 125.781785 64.790423) (xy 125.629057 64.749499) (xy 125.470943 64.749499) (xy 125.463347 64.749499) + (xy 125.463331 64.7495) (xy 120.568984 64.7495) (xy 120.501945 64.729815) (xy 120.477754 64.709483) + (xy 120.44869 64.677911) (xy 120.359744 64.58129) (xy 120.163509 64.428554) (xy 120.163507 64.428553) + (xy 120.163506 64.428552) (xy 119.944811 64.3102) (xy 119.944802 64.310197) (xy 119.709616 64.229457) + (xy 119.464335 64.188528) (xy 119.215665 64.188528) (xy 118.970383 64.229457) (xy 118.735197 64.310197) + (xy 118.735188 64.3102) (xy 118.516493 64.428552) (xy 118.320257 64.581289) (xy 118.151833 64.764245) + (xy 118.015826 64.972421) (xy 117.915936 65.200146) (xy 117.854892 65.441203) (xy 117.85489 65.441215) + (xy 117.834357 65.689022) (xy 117.834357 65.689033) (xy 108.510201 65.689033) (xy 109.987417 64.211818) + (xy 110.04874 64.178333) (xy 110.075098 64.175499) (xy 110.548338 64.175499) (xy 110.548344 64.175499) + (xy 110.548352 64.175498) (xy 110.548355 64.175498) (xy 110.60276 64.16994) (xy 110.647708 64.165349) + (xy 110.808697 64.112003) (xy 110.953044 64.022968) (xy 111.072968 63.903044) (xy 111.084465 63.884403) + (xy 111.136412 63.837679) (xy 111.190004 63.8255) (xy 111.286317 63.8255) (xy 111.318409 63.829725) + (xy 111.33632 63.834523) (xy 111.355019 63.839534) (xy 111.395942 63.8505) (xy 111.395943 63.8505) + (xy 127.459996 63.8505) (xy 127.527035 63.870185) (xy 127.565534 63.909403) (xy 127.568407 63.91406) + (xy 127.577031 63.928043) (xy 127.696955 64.047967) (xy 127.696959 64.04797) (xy 127.841294 64.136998) + (xy 127.841297 64.136999) (xy 127.841303 64.137003) (xy 128.002292 64.190349) (xy 128.101655 64.2005) + (xy 128.574902 64.200499) (xy 128.641941 64.220183) (xy 128.662583 64.236818) (xy 128.763181 64.337416) + (xy 128.796666 64.398739) (xy 128.7995 64.425097) (xy 128.7995 65.26333) (xy 128.799499 65.263348) + (xy 128.799499 65.429054) (xy 128.799498 65.429054) (xy 128.840424 65.581789) (xy 128.840425 65.58179) + (xy 128.865661 65.625499) (xy 128.865662 65.625501) (xy 128.919475 65.718709) (xy 128.919481 65.718717) + (xy 129.038349 65.837585) (xy 129.038355 65.83759) (xy 132.490139 69.289374) (xy 132.490149 69.289385) + (xy 132.494479 69.293715) (xy 132.49448 69.293716) (xy 132.606284 69.40552) (xy 132.606286 69.405521) + (xy 132.60629 69.405524) (xy 132.743209 69.484573) (xy 132.743216 69.484577) (xy 132.851263 69.513528) + (xy 132.895942 69.5255) (xy 132.895943 69.5255) (xy 133.302106 69.5255) (xy 133.369145 69.545185) + (xy 133.4149 69.597989) (xy 133.424844 69.667147) (xy 133.409493 69.7115) (xy 133.397821 69.731715) + (xy 133.397818 69.731722) (xy 133.341098 69.906289) (xy 133.339326 69.911744) (xy 133.31954 70.1) + (xy 133.339326 70.288256) (xy 133.339327 70.288259) (xy 133.397818 70.468277) (xy 133.397821 70.468284) + (xy 133.492467 70.632216) (xy 133.575547 70.724485) (xy 133.59265 70.74348) (xy 133.62288 70.806471) + (xy 133.6245 70.826452) (xy 133.6245 76.56693) (xy 133.604815 76.633969) (xy 133.588181 76.654611) + (xy 133.168548 77.074243) (xy 133.107225 77.107728) (xy 133.040604 77.103843) (xy 132.934616 77.067457) + (xy 132.689335 77.026528) (xy 132.440665 77.026528) (xy 132.195383 77.067457) (xy 131.960197 77.148197) + (xy 131.960188 77.1482) (xy 131.741493 77.266552) (xy 131.545257 77.419289) (xy 131.376833 77.602245) + (xy 131.240826 77.810421) (xy 131.140936 78.038146) (xy 131.079892 78.279203) (xy 131.07989 78.279215) + (xy 131.059357 78.527022) (xy 131.059357 78.527033) (xy 131.07989 78.77484) (xy 131.079891 78.774844) + (xy 131.140936 79.015909) (xy 131.240826 79.243634) (xy 131.376833 79.45181) (xy 131.376836 79.451813) + (xy 131.545256 79.634766) (xy 131.741491 79.787502) (xy 131.96019 79.905856) (xy 132.195386 79.986599) + (xy 132.440665 80.027528) (xy 132.689335 80.027528) (xy 132.934614 79.986599) (xy 133.16981 79.905856) + (xy 133.388509 79.787502) (xy 133.584744 79.634766) (xy 133.753164 79.451813) (xy 133.76632 79.431675) + (xy 133.819466 79.386321) (xy 133.870127 79.3755) (xy 141.259873 79.3755) (xy 141.326912 79.395185) + (xy 141.363679 79.431675) (xy 141.376836 79.451813) (xy 141.545256 79.634766) (xy 141.741491 79.787502) + (xy 141.96019 79.905856) (xy 142.195386 79.986599) (xy 142.440665 80.027528) (xy 142.689335 80.027528) + (xy 142.934614 79.986599) (xy 143.16981 79.905856) (xy 143.388509 79.787502) (xy 143.584744 79.634766) + (xy 143.753164 79.451813) (xy 143.889173 79.243635) (xy 143.989063 79.015909) (xy 144.050108 78.774849) + (xy 144.050108 78.774847) (xy 144.050109 78.774844) (xy 144.050109 78.77484) (xy 144.070643 78.527033) + (xy 144.070643 78.527022) (xy 144.050109 78.279215) (xy 144.050107 78.279203) (xy 143.989063 78.038146) + (xy 143.889173 77.810421) (xy 143.753166 77.602245) (xy 143.725975 77.572708) (xy 143.584744 77.41929) + (xy 143.388509 77.266554) (xy 143.388507 77.266553) (xy 143.388506 77.266552) (xy 143.169811 77.1482) + (xy 143.169802 77.148197) (xy 142.934616 77.067457) (xy 142.689335 77.026528) (xy 142.440665 77.026528) + (xy 142.195383 77.067457) (xy 141.960197 77.148197) (xy 141.960188 77.1482) (xy 141.741493 77.266552) + (xy 141.545257 77.419289) (xy 141.376833 77.602245) (xy 141.240826 77.810421) (xy 141.140935 78.03815) + (xy 141.140935 78.038151) (xy 141.130099 78.080941) (xy 141.09456 78.141096) (xy 141.032139 78.172488) + (xy 141.009894 78.1745) (xy 137.705771 78.1745) (xy 137.638732 78.154815) (xy 137.592977 78.102011) + (xy 137.583033 78.032853) (xy 137.612058 77.969297) (xy 137.670836 77.931523) (xy 137.685362 77.928191) + (xy 137.689335 77.927528) (xy 137.934614 77.886599) (xy 138.16981 77.805856) (xy 138.388509 77.687502) + (xy 138.584744 77.534766) (xy 138.753164 77.351813) (xy 138.889173 77.143635) (xy 138.989063 76.915909) + (xy 139.050108 76.674849) (xy 139.050109 76.67484) (xy 139.070643 76.427033) (xy 139.070643 76.427022) + (xy 139.050109 76.179215) (xy 139.050107 76.179203) (xy 138.989063 75.938146) (xy 138.889173 75.710421) + (xy 138.753166 75.502245) (xy 138.73286 75.480187) (xy 138.584744 75.31929) (xy 138.542496 75.286407) + (xy 138.48198 75.239305) (xy 138.441167 75.182595) (xy 138.437494 75.112822) (xy 138.47046 75.053773) + (xy 139.212416 74.311819) (xy 139.273739 74.278334) (xy 139.300097 74.2755) (xy 143.399903 74.2755) + (xy 143.466942 74.295185) (xy 143.487584 74.311819) (xy 145.813181 76.637416) (xy 145.846666 76.698739) + (xy 145.8495 76.725097) (xy 145.8495 86.695887) (xy 145.829815 86.762926) (xy 145.777011 86.808681) + (xy 145.767807 86.812295) (xy 145.766297 86.812999) (xy 145.621956 86.902031) (xy 145.502032 87.021955) + (xy 145.502029 87.021959) (xy 145.413001 87.166294) (xy 145.412996 87.166305) (xy 145.359651 87.32729) + (xy 145.3495 87.426647) (xy 145.3495 87.973337) (xy 145.349501 87.973355) (xy 145.35965 88.072707) + (xy 145.359651 88.07271) (xy 145.412996 88.233694) (xy 145.413001 88.233705) (xy 145.502029 88.37804) + (xy 145.502032 88.378044) (xy 145.621955 88.497967) (xy 145.621959 88.49797) (xy 145.766294 88.586998) + (xy 145.766297 88.586999) (xy 145.766303 88.587003) (xy 145.927292 88.640349) (xy 146.026655 88.6505) + (xy 146.873344 88.650499) (xy 146.873352 88.650498) (xy 146.873355 88.650498) (xy 146.92776 88.64494) + (xy 146.972708 88.640349) (xy 147.133697 88.587003) (xy 147.278044 88.497968) (xy 147.397968 88.378044) + (xy 147.487003 88.233697) (xy 147.540349 88.072708) (xy 147.5505 87.973345) (xy 147.550499 87.426656) + (xy 147.548391 87.406024) (xy 147.540349 87.327292) (xy 147.540348 87.327289) (xy 147.525234 87.281679) + (xy 147.487003 87.166303) (xy 147.486999 87.166297) (xy 147.486998 87.166294) (xy 147.39797 87.021959) + (xy 147.397967 87.021955) (xy 147.278043 86.902031) (xy 147.133702 86.812999) (xy 147.127155 86.809947) + (xy 147.127904 86.808338) (xy 147.078047 86.773816) (xy 147.051227 86.709299) (xy 147.0505 86.695887) + (xy 147.0505 76.345945) (xy 147.050499 76.345937) (xy 147.049022 76.340423) (xy 147.049022 76.340424) + (xy 147.034449 76.286037) (xy 147.009577 76.193215) (xy 147.008143 76.190732) (xy 146.976784 76.136417) + (xy 146.956048 76.100501) (xy 146.941103 76.074614) (xy 146.930522 76.056287) (xy 146.930521 76.056286) + (xy 146.93052 76.056284) (xy 146.818716 75.94448) (xy 146.818715 75.944479) (xy 146.814385 75.940149) + (xy 146.814374 75.940139) (xy 144.18759 73.313355) (xy 144.187588 73.313352) (xy 144.068717 73.194481) + (xy 144.068716 73.19448) (xy 143.981904 73.14436) (xy 143.981904 73.144359) (xy 143.9819 73.144358) + (xy 143.931785 73.115423) (xy 143.779057 73.074499) (xy 143.620943 73.074499) (xy 143.613347 73.074499) + (xy 143.613331 73.0745) (xy 138.92094 73.0745) (xy 138.880019 73.085464) (xy 138.880019 73.085465) + (xy 138.847151 73.094272) (xy 138.768214 73.115423) (xy 138.768209 73.115426) (xy 138.63129 73.194475) + (xy 138.631282 73.194481) (xy 137.084479 74.741284) (xy 137.067594 74.770531) (xy 137.050292 74.8005) + (xy 137.035335 74.826405) (xy 137.005423 74.878213) (xy 136.973637 74.996841) (xy 136.937271 75.056501) + (xy 136.91288 75.073801) (xy 136.7415 75.166547) (xy 136.741494 75.166551) (xy 136.545257 75.319289) + (xy 136.376833 75.502245) (xy 136.240826 75.710421) (xy 136.140936 75.938146) (xy 136.079892 76.179203) + (xy 136.07989 76.179215) (xy 136.059357 76.427022) (xy 136.059357 76.427033) (xy 136.07989 76.67484) + (xy 136.079892 76.674852) (xy 136.140936 76.915909) (xy 136.240826 77.143634) (xy 136.376833 77.35181) + (xy 136.376836 77.351813) (xy 136.545256 77.534766) (xy 136.741491 77.687502) (xy 136.741493 77.687503) + (xy 136.919258 77.783705) (xy 136.96019 77.805856) (xy 137.195386 77.886599) (xy 137.440665 77.927528) + (xy 137.444638 77.928191) (xy 137.507524 77.958641) (xy 137.543963 78.018256) (xy 137.542388 78.088108) + (xy 137.503299 78.14602) (xy 137.439105 78.173604) (xy 137.424229 78.1745) (xy 134.120106 78.1745) + (xy 134.053067 78.154815) (xy 134.007312 78.102011) (xy 133.999901 78.080943) (xy 133.99417 78.058317) + (xy 133.989063 78.038147) (xy 133.989061 78.038143) (xy 133.988992 78.037869) (xy 133.991615 77.968049) + (xy 134.021514 77.919748) (xy 134.70552 77.235744) (xy 134.784577 77.098812) (xy 134.825501 76.946085) + (xy 134.825501 76.78797) (xy 134.825501 76.780375) (xy 134.8255 76.780357) (xy 134.8255 70.826452) + (xy 134.845185 70.759413) (xy 134.85735 70.74348) (xy 134.874453 70.724485) (xy 134.957533 70.632216) + (xy 135.052179 70.468284) (xy 135.110674 70.288256) (xy 135.13046 70.1) (xy 135.110674 69.911744) + (xy 135.052179 69.731716) (xy 135.040506 69.711499) (xy 135.024034 69.6436) (xy 135.046887 69.577573) + (xy 135.101808 69.534382) (xy 135.147894 69.5255) (xy 145.584996 69.5255) (xy 145.652035 69.545185) + (xy 145.690534 69.584403) (xy 145.694506 69.590843) (xy 145.702031 69.603043) (xy 145.821955 69.722967) + (xy 145.821959 69.72297) (xy 145.966302 69.812003) (xy 145.972853 69.815058) (xy 145.972101 69.816669) + (xy 146.021943 69.851173) (xy 146.048771 69.915687) (xy 146.0495 69.929113) (xy 146.0495 73.73833) + (xy 146.049499 73.738348) (xy 146.049499 73.904054) (xy 146.049498 73.904054) (xy 146.090423 74.056786) + (xy 146.101227 74.075497) (xy 146.101228 74.075502) (xy 146.101229 74.075502) (xy 146.169475 74.193709) + (xy 146.169481 74.193717) (xy 146.288349 74.312585) (xy 146.288354 74.312589) (xy 149.656284 77.68052) + (xy 149.656286 77.680521) (xy 149.65629 77.680524) (xy 149.78259 77.753442) (xy 149.793216 77.759577) + (xy 149.945943 77.800501) (xy 149.945945 77.800501) (xy 150.111654 77.800501) (xy 150.11167 77.8005) + (xy 151.429169 77.8005) (xy 151.496208 77.820185) (xy 151.541963 77.872989) (xy 151.551907 77.942147) + (xy 151.5471 77.962818) (xy 151.541161 77.981098) (xy 151.539326 77.986744) (xy 151.51954 78.175) + (xy 151.539326 78.363256) (xy 151.539327 78.363259) (xy 151.597818 78.543277) (xy 151.597821 78.543284) + (xy 151.692467 78.707216) (xy 151.79265 78.81848) (xy 151.82288 78.881471) (xy 151.8245 78.901452) + (xy 151.8245 84.52993) (xy 151.804815 84.596969) (xy 151.788181 84.617611) (xy 151.368548 85.037243) + (xy 151.307225 85.070728) (xy 151.240604 85.066843) (xy 151.134616 85.030457) (xy 150.889335 84.989528) + (xy 150.640665 84.989528) (xy 150.395383 85.030457) (xy 150.160197 85.111197) (xy 150.160188 85.1112) + (xy 149.941493 85.229552) (xy 149.745257 85.382289) (xy 149.576833 85.565245) (xy 149.440826 85.773421) + (xy 149.340936 86.001146) (xy 149.279892 86.242203) (xy 149.27989 86.242215) (xy 149.259357 86.490022) + (xy 149.259357 86.490033) (xy 149.27989 86.73784) (xy 149.279892 86.737852) (xy 149.340936 86.978909) + (xy 149.440826 87.206634) (xy 149.576833 87.41481) (xy 149.576836 87.414813) (xy 149.745256 87.597766) + (xy 149.941491 87.750502) (xy 150.16019 87.868856) (xy 150.395386 87.949599) (xy 150.640665 87.990528) + (xy 150.889335 87.990528) (xy 151.134614 87.949599) (xy 151.36981 87.868856) (xy 151.588509 87.750502) + (xy 151.784744 87.597766) (xy 151.953164 87.414813) (xy 152.010344 87.327292) (xy 152.040145 87.281679) + (xy 152.093292 87.236322) (xy 152.143954 87.2255) (xy 159.386046 87.2255) (xy 159.453085 87.245185) + (xy 159.489855 87.281679) (xy 159.576833 87.41481) (xy 159.576836 87.414813) (xy 159.745256 87.597766) + (xy 159.941491 87.750502) (xy 160.16019 87.868856) (xy 160.395386 87.949599) (xy 160.640665 87.990528) + (xy 160.889335 87.990528) (xy 161.134614 87.949599) (xy 161.36981 87.868856) (xy 161.588509 87.750502) + (xy 161.784744 87.597766) (xy 161.953164 87.414813) (xy 162.089173 87.206635) (xy 162.189063 86.978909) + (xy 162.250108 86.737849) (xy 162.255783 86.66936) (xy 162.270643 86.490033) (xy 162.270643 86.490022) + (xy 162.250109 86.242215) (xy 162.250107 86.242203) (xy 162.189063 86.001146) (xy 162.089173 85.773421) + (xy 161.953166 85.565245) (xy 161.891047 85.497766) (xy 161.784744 85.38229) (xy 161.588509 85.229554) + (xy 161.588507 85.229553) (xy 161.588506 85.229552) (xy 161.369811 85.1112) (xy 161.369802 85.111197) + (xy 161.134616 85.030457) (xy 160.889335 84.989528) (xy 160.640665 84.989528) (xy 160.395383 85.030457) + (xy 160.160197 85.111197) (xy 160.160188 85.1112) (xy 159.941493 85.229552) (xy 159.745257 85.382289) + (xy 159.576833 85.565245) (xy 159.440826 85.773421) (xy 159.363236 85.95031) (xy 159.31828 86.003796) + (xy 159.251544 86.024486) (xy 159.24968 86.0245) (xy 156.368236 86.0245) (xy 156.301197 86.004815) + (xy 156.255442 85.952011) (xy 156.245498 85.882853) (xy 156.274523 85.819297) (xy 156.327973 85.783219) + (xy 156.340524 85.778909) (xy 156.36981 85.768856) (xy 156.588509 85.650502) (xy 156.784744 85.497766) + (xy 156.953164 85.314813) (xy 157.089173 85.106635) (xy 157.189063 84.878909) (xy 157.250108 84.637849) + (xy 157.251798 84.617457) (xy 157.270643 84.390033) (xy 157.270643 84.390022) (xy 157.250109 84.142215) + (xy 157.250108 84.142211) (xy 157.250108 84.142207) (xy 157.234388 84.080132) (xy 157.188992 83.900867) + (xy 157.191616 83.831046) (xy 157.221514 83.782747) (xy 158.592444 82.411819) (xy 158.653767 82.378334) + (xy 158.680125 82.3755) (xy 162.274903 82.3755) (xy 162.341942 82.395185) (xy 162.362584 82.411819) + (xy 164.113181 84.162416) (xy 164.146666 84.223739) (xy 164.1495 84.250097) (xy 164.1495 91.020887) + (xy 164.129815 91.087926) (xy 164.077011 91.133681) (xy 164.067807 91.137295) (xy 164.066297 91.137999) + (xy 163.921956 91.227031) (xy 163.802032 91.346955) (xy 163.802029 91.346959) (xy 163.713001 91.491294) + (xy 163.712996 91.491305) (xy 163.659651 91.65229) (xy 163.6495 91.751647) (xy 163.6495 92.298337) + (xy 163.649501 92.298355) (xy 163.65965 92.397707) (xy 163.659651 92.39771) (xy 163.712996 92.558694) + (xy 163.713001 92.558705) (xy 163.802029 92.70304) (xy 163.802032 92.703044) (xy 163.921955 92.822967) + (xy 163.921959 92.82297) (xy 164.066294 92.911998) (xy 164.066297 92.911999) (xy 164.066303 92.912003) + (xy 164.227292 92.965349) (xy 164.326655 92.9755) (xy 164.750903 92.975499) (xy 164.817941 92.995183) + (xy 164.863696 93.047987) (xy 164.87364 93.117146) (xy 164.844615 93.180702) (xy 164.838583 93.18718) + (xy 164.381286 93.644478) (xy 164.269481 93.756282) (xy 164.269477 93.756287) (xy 164.226621 93.830518) + (xy 164.226621 93.830519) (xy 164.190423 93.893214) (xy 164.183196 93.920185) (xy 164.149499 94.045943) + (xy 164.149499 94.045945) (xy 164.149499 94.214046) (xy 164.1495 94.214059) (xy 164.1495 94.320887) + (xy 164.129815 94.387926) (xy 164.077011 94.433681) (xy 164.067807 94.437295) (xy 164.066297 94.437999) + (xy 163.921956 94.527031) (xy 163.802031 94.646956) (xy 163.790535 94.665596) (xy 163.738588 94.712321) + (xy 163.684996 94.7245) (xy 151.075098 94.7245) (xy 151.008059 94.704815) (xy 150.987417 94.688181) + (xy 147.586818 91.287582) (xy 147.553333 91.226259) (xy 147.550499 91.199901) (xy 147.550499 90.726662) + (xy 147.550498 90.726644) (xy 147.540349 90.627292) (xy 147.540348 90.627289) (xy 147.529303 90.593957) + (xy 147.487003 90.466303) (xy 147.486999 90.466297) (xy 147.486998 90.466294) (xy 147.431697 90.376639) + (xy 149.1645 90.376639) (xy 149.191598 90.547729) (xy 149.245127 90.712473) (xy 149.323768 90.866816) + (xy 149.425586 91.006956) (xy 149.548072 91.129442) (xy 149.688212 91.23126) (xy 149.842555 91.309901) + (xy 150.007299 91.36343) (xy 150.178389 91.390528) (xy 150.17839 91.390528) (xy 150.35161 91.390528) + (xy 150.351611 91.390528) (xy 150.522701 91.36343) (xy 150.687445 91.309901) (xy 150.841788 91.23126) + (xy 150.981928 91.129442) (xy 151.104414 91.006956) (xy 151.206232 90.866816) (xy 151.284873 90.712473) + (xy 151.338402 90.547729) (xy 151.358968 90.417878) (xy 153.8145 90.417878) (xy 153.833541 90.562502) + (xy 153.847874 90.671368) (xy 153.91405 90.91834) (xy 153.914053 90.91835) (xy 154.011894 91.154559) + (xy 154.011899 91.15457) (xy 154.139734 91.375985) (xy 154.139745 91.376001) (xy 154.295388 91.578839) + (xy 154.295394 91.578846) (xy 154.476181 91.759633) (xy 154.476188 91.759639) (xy 154.564663 91.827528) + (xy 154.679035 91.915289) (xy 154.679042 91.915293) (xy 154.900457 92.043128) (xy 154.900462 92.04313) + (xy 154.900465 92.043132) (xy 155.049426 92.104833) (xy 155.130337 92.138348) (xy 155.136687 92.140978) + (xy 155.38366 92.207154) (xy 155.637157 92.240528) (xy 155.637164 92.240528) (xy 155.892836 92.240528) + (xy 155.892843 92.240528) (xy 156.14634 92.207154) (xy 156.393313 92.140978) (xy 156.629535 92.043132) + (xy 156.850965 91.915289) (xy 157.053813 91.759638) (xy 157.23461 91.578841) (xy 157.390261 91.375993) + (xy 157.518104 91.154563) (xy 157.61595 90.918341) (xy 157.682126 90.671368) (xy 157.7155 90.417871) + (xy 157.7155 90.376639) (xy 160.1645 90.376639) (xy 160.191598 90.547729) (xy 160.245127 90.712473) + (xy 160.323768 90.866816) (xy 160.425586 91.006956) (xy 160.548072 91.129442) (xy 160.688212 91.23126) + (xy 160.842555 91.309901) (xy 161.007299 91.36343) (xy 161.178389 91.390528) (xy 161.17839 91.390528) + (xy 161.35161 91.390528) (xy 161.351611 91.390528) (xy 161.522701 91.36343) (xy 161.687445 91.309901) + (xy 161.841788 91.23126) (xy 161.981928 91.129442) (xy 162.104414 91.006956) (xy 162.206232 90.866816) + (xy 162.284873 90.712473) (xy 162.338402 90.547729) (xy 162.3655 90.376639) (xy 162.3655 90.203417) + (xy 162.338402 90.032327) (xy 162.284873 89.867583) (xy 162.206232 89.71324) (xy 162.104414 89.5731) + (xy 161.981928 89.450614) (xy 161.841788 89.348796) (xy 161.687445 89.270155) (xy 161.522701 89.216626) + (xy 161.522699 89.216625) (xy 161.522698 89.216625) (xy 161.391271 89.195809) (xy 161.351611 89.189528) + (xy 161.178389 89.189528) (xy 161.138728 89.195809) (xy 161.007302 89.216625) (xy 160.842552 89.270156) + (xy 160.688211 89.348796) (xy 160.608256 89.406887) (xy 160.548072 89.450614) (xy 160.54807 89.450616) + (xy 160.548069 89.450616) (xy 160.425588 89.573097) (xy 160.425588 89.573098) (xy 160.425586 89.5731) + (xy 160.416557 89.585528) (xy 160.323768 89.713239) (xy 160.245128 89.86758) (xy 160.191597 90.03233) + (xy 160.166558 90.190424) (xy 160.1645 90.203417) (xy 160.1645 90.376639) (xy 157.7155 90.376639) + (xy 157.7155 90.162185) (xy 157.682126 89.908688) (xy 157.61595 89.661715) (xy 157.518104 89.425493) + (xy 157.518102 89.42549) (xy 157.5181 89.425485) (xy 157.390265 89.20407) (xy 157.390261 89.204063) + (xy 157.296432 89.081783) (xy 157.234611 89.001216) (xy 157.234605 89.001209) (xy 157.053818 88.820422) + (xy 157.053811 88.820416) (xy 156.850973 88.664773) (xy 156.850971 88.664771) (xy 156.850965 88.664767) + (xy 156.85096 88.664764) (xy 156.850957 88.664762) (xy 156.629542 88.536927) (xy 156.629531 88.536922) + (xy 156.393322 88.439081) (xy 156.393315 88.439079) (xy 156.393313 88.439078) (xy 156.14634 88.372902) + (xy 156.090007 88.365485) (xy 155.89285 88.339528) (xy 155.892843 88.339528) (xy 155.637157 88.339528) + (xy 155.637149 88.339528) (xy 155.411826 88.369193) (xy 155.38366 88.372902) (xy 155.226548 88.415) + (xy 155.136687 88.439078) (xy 155.136677 88.439081) (xy 154.900468 88.536922) (xy 154.900457 88.536927) + (xy 154.679042 88.664762) (xy 154.679026 88.664773) (xy 154.476188 88.820416) (xy 154.476181 88.820422) + (xy 154.295394 89.001209) (xy 154.295388 89.001216) (xy 154.139745 89.204054) (xy 154.139734 89.20407) + (xy 154.011899 89.425485) (xy 154.011894 89.425496) (xy 153.914053 89.661705) (xy 153.91405 89.661715) + (xy 153.873263 89.813937) (xy 153.847874 89.908689) (xy 153.8145 90.162177) (xy 153.8145 90.417878) + (xy 151.358968 90.417878) (xy 151.3655 90.376639) (xy 151.3655 90.203417) (xy 151.338402 90.032327) + (xy 151.284873 89.867583) (xy 151.206232 89.71324) (xy 151.104414 89.5731) (xy 150.981928 89.450614) + (xy 150.841788 89.348796) (xy 150.687445 89.270155) (xy 150.522701 89.216626) (xy 150.522699 89.216625) + (xy 150.522698 89.216625) (xy 150.391271 89.195809) (xy 150.351611 89.189528) (xy 150.178389 89.189528) + (xy 150.138728 89.195809) (xy 150.007302 89.216625) (xy 149.842552 89.270156) (xy 149.688211 89.348796) + (xy 149.608256 89.406887) (xy 149.548072 89.450614) (xy 149.54807 89.450616) (xy 149.548069 89.450616) + (xy 149.425588 89.573097) (xy 149.425588 89.573098) (xy 149.425586 89.5731) (xy 149.416557 89.585528) + (xy 149.323768 89.713239) (xy 149.245128 89.86758) (xy 149.191597 90.03233) (xy 149.166558 90.190424) + (xy 149.1645 90.203417) (xy 149.1645 90.376639) (xy 147.431697 90.376639) (xy 147.39797 90.321959) + (xy 147.397967 90.321955) (xy 147.278044 90.202032) (xy 147.27804 90.202029) (xy 147.133705 90.113001) + (xy 147.133699 90.112998) (xy 147.133697 90.112997) (xy 147.133694 90.112996) (xy 146.972709 90.059651) + (xy 146.873352 90.0495) (xy 146.873345 90.0495) (xy 146.400097 90.0495) (xy 146.333058 90.029815) + (xy 146.312416 90.013181) (xy 141.78759 85.488355) (xy 141.787588 85.488352) (xy 141.668717 85.369481) + (xy 141.668716 85.36948) (xy 141.566642 85.310548) (xy 141.531785 85.290423) (xy 141.379057 85.249499) + (xy 141.220943 85.249499) (xy 141.213347 85.249499) (xy 141.213331 85.2495) (xy 133.000097 85.2495) + (xy 132.933058 85.229815) (xy 132.912416 85.213181) (xy 130.211819 82.512584) (xy 130.178334 82.451261) + (xy 130.1755 82.424903) (xy 130.1755 82.413639) (xy 130.9645 82.413639) (xy 130.991598 82.584729) + (xy 131.045127 82.749473) (xy 131.123768 82.903816) (xy 131.225586 83.043956) (xy 131.348072 83.166442) + (xy 131.488212 83.26826) (xy 131.642555 83.346901) (xy 131.807299 83.40043) (xy 131.978389 83.427528) + (xy 131.97839 83.427528) (xy 132.15161 83.427528) (xy 132.151611 83.427528) (xy 132.322701 83.40043) + (xy 132.487445 83.346901) (xy 132.641788 83.26826) (xy 132.781928 83.166442) (xy 132.904414 83.043956) + (xy 133.006232 82.903816) (xy 133.084873 82.749473) (xy 133.138402 82.584729) (xy 133.158968 82.454878) + (xy 135.6145 82.454878) (xy 135.639655 82.645939) (xy 135.647874 82.708368) (xy 135.709201 82.937243) + (xy 135.71405 82.95534) (xy 135.714053 82.95535) (xy 135.811894 83.191559) (xy 135.811899 83.19157) + (xy 135.939734 83.412985) (xy 135.939745 83.413001) (xy 136.095388 83.615839) (xy 136.095394 83.615846) + (xy 136.276181 83.796633) (xy 136.276188 83.796639) (xy 136.34509 83.849509) (xy 136.479035 83.952289) + (xy 136.479042 83.952293) (xy 136.700457 84.080128) (xy 136.700462 84.08013) (xy 136.700465 84.080132) + (xy 136.936687 84.177978) (xy 137.18366 84.244154) (xy 137.437157 84.277528) (xy 137.437164 84.277528) + (xy 137.692836 84.277528) (xy 137.692843 84.277528) (xy 137.94634 84.244154) (xy 138.193313 84.177978) + (xy 138.429535 84.080132) (xy 138.650965 83.952289) (xy 138.853813 83.796638) (xy 139.03461 83.615841) + (xy 139.190261 83.412993) (xy 139.318104 83.191563) (xy 139.41595 82.955341) (xy 139.482126 82.708368) + (xy 139.5155 82.454871) (xy 139.5155 82.413639) (xy 141.9645 82.413639) (xy 141.991598 82.584729) + (xy 142.045127 82.749473) (xy 142.123768 82.903816) (xy 142.225586 83.043956) (xy 142.348072 83.166442) + (xy 142.488212 83.26826) (xy 142.642555 83.346901) (xy 142.807299 83.40043) (xy 142.978389 83.427528) + (xy 142.97839 83.427528) (xy 143.15161 83.427528) (xy 143.151611 83.427528) (xy 143.322701 83.40043) + (xy 143.487445 83.346901) (xy 143.641788 83.26826) (xy 143.781928 83.166442) (xy 143.904414 83.043956) + (xy 144.006232 82.903816) (xy 144.084873 82.749473) (xy 144.138402 82.584729) (xy 144.1655 82.413639) + (xy 144.1655 82.240417) (xy 144.138402 82.069327) (xy 144.084873 81.904583) (xy 144.006232 81.75024) + (xy 143.904414 81.6101) (xy 143.781928 81.487614) (xy 143.641788 81.385796) (xy 143.490471 81.308697) + (xy 143.487447 81.307156) (xy 143.487446 81.307155) (xy 143.487445 81.307155) (xy 143.322701 81.253626) + (xy 143.322699 81.253625) (xy 143.322698 81.253625) (xy 143.191271 81.232809) (xy 143.151611 81.226528) + (xy 142.978389 81.226528) (xy 142.938728 81.232809) (xy 142.807302 81.253625) (xy 142.807299 81.253626) + (xy 142.64523 81.306286) (xy 142.642552 81.307156) (xy 142.488211 81.385796) (xy 142.45028 81.413355) + (xy 142.348072 81.487614) (xy 142.34807 81.487616) (xy 142.348069 81.487616) (xy 142.225588 81.610097) + (xy 142.225588 81.610098) (xy 142.225586 81.6101) (xy 142.18788 81.661998) (xy 142.123768 81.750239) + (xy 142.045128 81.90458) (xy 141.991597 82.06933) (xy 141.9645 82.240417) (xy 141.9645 82.413639) + (xy 139.5155 82.413639) (xy 139.5155 82.199185) (xy 139.482126 81.945688) (xy 139.41595 81.698715) + (xy 139.400743 81.662003) (xy 139.318105 81.462496) (xy 139.3181 81.462485) (xy 139.190265 81.24107) + (xy 139.190261 81.241063) (xy 139.053308 81.062583) (xy 139.034611 81.038216) (xy 139.034605 81.038209) + (xy 138.853818 80.857422) (xy 138.853811 80.857416) (xy 138.650973 80.701773) (xy 138.650971 80.701771) + (xy 138.650965 80.701767) (xy 138.65096 80.701764) (xy 138.650957 80.701762) (xy 138.429542 80.573927) + (xy 138.429531 80.573922) (xy 138.193322 80.476081) (xy 138.193315 80.476079) (xy 138.193313 80.476078) + (xy 137.94634 80.409902) (xy 137.888522 80.40229) (xy 137.69285 80.376528) (xy 137.692843 80.376528) + (xy 137.437157 80.376528) (xy 137.437149 80.376528) (xy 137.211826 80.406193) (xy 137.18366 80.409902) + (xy 136.936687 80.476078) (xy 136.936677 80.476081) (xy 136.700468 80.573922) (xy 136.700457 80.573927) + (xy 136.479042 80.701762) (xy 136.479026 80.701773) (xy 136.276188 80.857416) (xy 136.276181 80.857422) + (xy 136.095394 81.038209) (xy 136.095388 81.038216) (xy 135.939745 81.241054) (xy 135.939734 81.24107) + (xy 135.811899 81.462485) (xy 135.811894 81.462496) (xy 135.714053 81.698705) (xy 135.71405 81.698715) + (xy 135.647874 81.945689) (xy 135.6145 82.199177) (xy 135.6145 82.454878) (xy 133.158968 82.454878) + (xy 133.1655 82.413639) (xy 133.1655 82.240417) (xy 133.138402 82.069327) (xy 133.084873 81.904583) + (xy 133.006232 81.75024) (xy 132.904414 81.6101) (xy 132.781928 81.487614) (xy 132.641788 81.385796) + (xy 132.490471 81.308697) (xy 132.487447 81.307156) (xy 132.487446 81.307155) (xy 132.487445 81.307155) + (xy 132.322701 81.253626) (xy 132.322699 81.253625) (xy 132.322698 81.253625) (xy 132.191271 81.232809) + (xy 132.151611 81.226528) (xy 131.978389 81.226528) (xy 131.938728 81.232809) (xy 131.807302 81.253625) + (xy 131.807299 81.253626) (xy 131.64523 81.306286) (xy 131.642552 81.307156) (xy 131.488211 81.385796) + (xy 131.45028 81.413355) (xy 131.348072 81.487614) (xy 131.34807 81.487616) (xy 131.348069 81.487616) + (xy 131.225588 81.610097) (xy 131.225588 81.610098) (xy 131.225586 81.6101) (xy 131.18788 81.661998) + (xy 131.123768 81.750239) (xy 131.045128 81.90458) (xy 130.991597 82.06933) (xy 130.9645 82.240417) + (xy 130.9645 82.413639) (xy 130.1755 82.413639) (xy 130.1755 78.41406) (xy 130.175501 78.414047) + (xy 130.175501 78.245944) (xy 130.163953 78.202846) (xy 130.134577 78.093216) (xy 130.121003 78.069705) + (xy 130.055524 77.95629) (xy 130.055518 77.956282) (xy 129.636818 77.537582) (xy 129.603333 77.476259) + (xy 129.600499 77.449901) (xy 129.600499 76.976662) (xy 129.600498 76.976644) (xy 129.590349 76.877292) + (xy 129.590348 76.877289) (xy 129.573822 76.827417) (xy 129.537003 76.716303) (xy 129.536999 76.716297) + (xy 129.536998 76.716294) (xy 129.44797 76.571959) (xy 129.447967 76.571955) (xy 129.328044 76.452032) + (xy 129.32804 76.452029) (xy 129.183705 76.363001) (xy 129.183699 76.362998) (xy 129.183697 76.362997) + (xy 129.115573 76.340423) (xy 129.022709 76.309651) (xy 128.923352 76.2995) (xy 128.923345 76.2995) + (xy 128.450098 76.2995) (xy 128.383059 76.279815) (xy 128.362417 76.263181) (xy 126.58759 74.488355) + (xy 126.587588 74.488352) (xy 126.468717 74.369481) (xy 126.468716 74.36948) (xy 126.357934 74.30552) + (xy 126.357934 74.305519) (xy 126.357929 74.305518) (xy 126.340033 74.295185) (xy 126.331786 74.290423) + (xy 126.26568 74.27271) (xy 126.179057 74.249499) (xy 126.020943 74.249499) (xy 126.013347 74.249499) + (xy 126.013331 74.2495) (xy 116.070941 74.2495) (xy 116.040716 74.257598) (xy 116.040717 74.257599) + (xy 115.918214 74.290423) (xy 115.918209 74.290426) (xy 115.78129 74.369475) (xy 115.781282 74.369481) + (xy 115.669478 74.481286) (xy 110.362582 79.788181) (xy 110.301259 79.821666) (xy 110.274901 79.8245) + (xy 109.801662 79.8245) (xy 109.801644 79.824501) (xy 109.702292 79.83465) (xy 109.702289 79.834651) + (xy 109.541305 79.887996) (xy 109.541294 79.888001) (xy 109.396959 79.977029) (xy 109.396955 79.977032) + (xy 109.277032 80.096955) (xy 109.277029 80.096959) (xy 109.188001 80.241294) (xy 109.187996 80.241305) + (xy 109.134651 80.40229) (xy 109.1245 80.501647) (xy 109.1245 80.974902) (xy 109.104815 81.041941) + (xy 109.088181 81.062583) (xy 105.662584 84.488181) (xy 105.601261 84.521666) (xy 105.574903 84.5245) + (xy 96.300098 84.5245) (xy 96.233059 84.504815) (xy 96.212417 84.488181) (xy 93.036818 81.312582) + (xy 93.003333 81.251259) (xy 93.000499 81.224901) (xy 93.000499 80.751662) (xy 93.000498 80.751644) + (xy 92.990349 80.652292) (xy 92.990348 80.652289) (xy 92.964769 80.575096) (xy 92.937003 80.491303) + (xy 92.936999 80.491297) (xy 92.936998 80.491294) (xy 92.84797 80.346959) (xy 92.847967 80.346955) + (xy 92.728044 80.227032) (xy 92.72804 80.227029) (xy 92.583705 80.138001) (xy 92.583699 80.137998) + (xy 92.583697 80.137997) (xy 92.583694 80.137996) (xy 92.422709 80.084651) (xy 92.323346 80.0745) + (xy 91.476662 80.0745) (xy 91.476644 80.074501) (xy 91.377292 80.08465) (xy 91.377289 80.084651) + (xy 91.216305 80.137996) (xy 91.216294 80.138001) (xy 91.071959 80.227029) (xy 91.071955 80.227032) + (xy 90.952032 80.346955) (xy 90.952029 80.346959) (xy 90.863001 80.491294) (xy 90.862996 80.491305) + (xy 90.809651 80.65229) (xy 90.7995 80.751647) (xy 90.7995 81.298337) (xy 90.799501 81.298355) (xy 90.80965 81.397707) + (xy 90.809651 81.39771) (xy 90.862996 81.558694) (xy 90.863001 81.558705) (xy 90.952029 81.70304) + (xy 90.952032 81.703044) (xy 91.071955 81.822967) (xy 91.071959 81.82297) (xy 91.216294 81.911998) + (xy 91.216297 81.911999) (xy 91.216303 81.912003) (xy 91.377292 81.965349) (xy 91.476655 81.9755) + (xy 91.949902 81.975499) (xy 92.016941 81.995183) (xy 92.037582 82.011817) (xy 95.631284 85.60552) + (xy 95.631286 85.605521) (xy 95.63129 85.605524) (xy 95.768209 85.684573) (xy 95.768216 85.684577) + (xy 95.920943 85.725501) (xy 95.920945 85.725501) (xy 96.086654 85.725501) (xy 96.08667 85.7255) + (xy 105.788331 85.7255) (xy 105.788347 85.725501) (xy 105.795943 85.725501) (xy 105.954054 85.725501) + (xy 105.954057 85.725501) (xy 106.106785 85.684577) (xy 106.165804 85.650502) (xy 106.243716 85.60552) + (xy 106.35552 85.493716) (xy 106.35552 85.493714) (xy 106.365724 85.483511) (xy 106.365728 85.483506) + (xy 107.872201 83.977033) (xy 117.834357 83.977033) (xy 117.85489 84.22484) (xy 117.854892 84.224852) + (xy 117.915936 84.465909) (xy 118.015826 84.693634) (xy 118.151833 84.90181) (xy 118.15853 84.909085) + (xy 118.320256 85.084766) (xy 118.516491 85.237502) (xy 118.73519 85.355856) (xy 118.970386 85.436599) + (xy 119.215665 85.477528) (xy 119.464335 85.477528) (xy 119.709614 85.436599) (xy 119.94481 85.355856) + (xy 120.163509 85.237502) (xy 120.359744 85.084766) (xy 120.528164 84.901813) (xy 120.664173 84.693635) + (xy 120.764063 84.465909) (xy 120.825108 84.224849) (xy 120.828992 84.177974) (xy 120.845643 83.977033) + (xy 120.845643 83.977022) (xy 120.825109 83.729215) (xy 120.825108 83.729211) (xy 120.825108 83.729207) + (xy 120.764063 83.488147) (xy 120.764062 83.488146) (xy 120.763992 83.487867) (xy 120.766616 83.418046) + (xy 120.796514 83.369747) (xy 121.854444 82.311819) (xy 121.915767 82.278334) (xy 121.942125 82.2755) + (xy 125.374903 82.2755) (xy 125.441942 82.295185) (xy 125.462584 82.311819) (xy 127.813181 84.662416) + (xy 127.846666 84.723739) (xy 127.8495 84.750097) (xy 127.8495 94.920887) (xy 127.829815 94.987926) + (xy 127.777011 95.033681) (xy 127.767807 95.037295) (xy 127.766297 95.037999) (xy 127.621956 95.127031) + (xy 127.502032 95.246955) (xy 127.502029 95.246959) (xy 127.413001 95.391294) (xy 127.412996 95.391305) + (xy 127.359651 95.55229) (xy 127.3495 95.651647) (xy 127.3495 96.198337) (xy 127.349501 96.198355) + (xy 127.35965 96.297707) (xy 127.359651 96.29771) (xy 127.412996 96.458694) (xy 127.413001 96.458705) + (xy 127.502029 96.60304) (xy 127.502032 96.603044) (xy 127.621955 96.722967) (xy 127.621959 96.72297) + (xy 127.766294 96.811998) (xy 127.766297 96.811999) (xy 127.766303 96.812003) (xy 127.927292 96.865349) + (xy 128.026655 96.8755) (xy 128.873344 96.875499) (xy 128.873352 96.875498) (xy 128.873355 96.875498) + (xy 128.92776 96.86994) (xy 128.972708 96.865349) (xy 129.133697 96.812003) (xy 129.278044 96.722968) + (xy 129.397968 96.603044) (xy 129.487003 96.458697) (xy 129.540349 96.297708) (xy 129.5505 96.198345) + (xy 129.550499 95.651656) (xy 129.547722 95.624475) (xy 129.540349 95.552292) (xy 129.540348 95.552289) + (xy 129.528463 95.516422) (xy 129.487003 95.391303) (xy 129.486999 95.391297) (xy 129.486998 95.391294) + (xy 129.39797 95.246959) (xy 129.397967 95.246955) (xy 129.278043 95.127031) (xy 129.133702 95.037999) + (xy 129.127155 95.034947) (xy 129.127904 95.033338) (xy 129.078047 94.998816) (xy 129.051227 94.934299) + (xy 129.0505 94.920887) (xy 129.0505 84.53906) (xy 129.050501 84.539047) (xy 129.050501 84.370944) + (xy 129.050501 84.370943) (xy 129.009577 84.218216) (xy 128.986345 84.177977) (xy 128.930524 84.08129) + (xy 128.930518 84.081282) (xy 126.16259 81.313355) (xy 126.162588 81.313352) (xy 126.043717 81.194481) + (xy 126.043716 81.19448) (xy 125.955041 81.143284) (xy 125.906785 81.115423) (xy 125.754057 81.074499) + (xy 125.595943 81.074499) (xy 125.588347 81.074499) (xy 125.588331 81.0745) (xy 121.56297 81.0745) + (xy 121.410241 81.115423) (xy 121.361987 81.143284) (xy 121.361986 81.143284) (xy 121.273315 81.194477) + (xy 121.27331 81.194481) (xy 121.161506 81.306286) (xy 119.943548 82.524243) (xy 119.882225 82.557728) + (xy 119.815604 82.553843) (xy 119.709616 82.517457) (xy 119.464335 82.476528) (xy 119.215665 82.476528) + (xy 118.970383 82.517457) (xy 118.735197 82.598197) (xy 118.735188 82.5982) (xy 118.516493 82.716552) + (xy 118.320257 82.869289) (xy 118.151833 83.052245) (xy 118.015826 83.260421) (xy 117.915936 83.488146) + (xy 117.854892 83.729203) (xy 117.85489 83.729215) (xy 117.834357 83.977022) (xy 117.834357 83.977033) + (xy 107.872201 83.977033) (xy 110.087416 81.761818) (xy 110.148739 81.728333) (xy 110.175097 81.725499) + (xy 110.648338 81.725499) (xy 110.648344 81.725499) (xy 110.648352 81.725498) (xy 110.648355 81.725498) + (xy 110.70276 81.71994) (xy 110.747708 81.715349) (xy 110.908697 81.662003) (xy 111.053044 81.572968) + (xy 111.172968 81.453044) (xy 111.262003 81.308697) (xy 111.315349 81.147708) (xy 111.3255 81.048345) + (xy 111.325499 80.575095) (xy 111.345183 80.508057) (xy 111.361813 80.48742) (xy 116.362416 75.486819) + (xy 116.423739 75.453334) (xy 116.450097 75.4505) (xy 125.799903 75.4505) (xy 125.866942 75.470185) + (xy 125.887584 75.486819) (xy 127.363181 76.962416) (xy 127.396666 77.023739) (xy 127.3995 77.050097) + (xy 127.3995 77.523337) (xy 127.399501 77.523355) (xy 127.40965 77.622707) (xy 127.409651 77.62271) + (xy 127.462996 77.783694) (xy 127.463001 77.783705) (xy 127.552029 77.92804) (xy 127.552032 77.928044) + (xy 127.671955 78.047967) (xy 127.671959 78.04797) (xy 127.816294 78.136998) (xy 127.816297 78.136999) + (xy 127.816303 78.137003) (xy 127.977292 78.190349) (xy 128.076655 78.2005) (xy 128.549901 78.200499) + (xy 128.61694 78.220183) (xy 128.637582 78.236818) (xy 128.938181 78.537416) (xy 128.971666 78.598739) + (xy 128.9745 78.625097) (xy 128.9745 82.63833) (xy 128.974499 82.638348) (xy 128.974499 82.804054) + (xy 128.974498 82.804054) (xy 129.015422 82.956784) (xy 129.015638 82.957156) (xy 129.015685 82.957239) + (xy 129.094475 83.093709) (xy 129.094481 83.093717) (xy 129.213349 83.212585) (xy 129.213355 83.21259) + (xy 132.215139 86.214374) (xy 132.215149 86.214385) (xy 132.219479 86.218715) (xy 132.21948 86.218716) + (xy 132.331284 86.33052) (xy 132.331286 86.330521) (xy 132.33129 86.330524) (xy 132.468209 86.409573) + (xy 132.468216 86.409577) (xy 132.580019 86.439534) (xy 132.620942 86.4505) (xy 132.620943 86.4505) + (xy 140.999903 86.4505) (xy 141.066942 86.470185) (xy 141.087584 86.486819) (xy 145.313181 90.712416) + (xy 145.346666 90.773739) (xy 145.3495 90.800097) (xy 145.3495 91.273337) (xy 145.349501 91.273355) + (xy 145.35965 91.372707) (xy 145.359651 91.37271) (xy 145.412996 91.533694) (xy 145.413001 91.533705) + (xy 145.502029 91.67804) (xy 145.502032 91.678044) (xy 145.621955 91.797967) (xy 145.621959 91.79797) + (xy 145.766294 91.886998) (xy 145.766297 91.886999) (xy 145.766303 91.887003) (xy 145.927292 91.940349) + (xy 146.026655 91.9505) (xy 146.499902 91.950499) (xy 146.566941 91.970183) (xy 146.587582 91.986817) + (xy 150.406284 95.80552) (xy 150.406286 95.805521) (xy 150.40629 95.805524) (xy 150.450914 95.831287) + (xy 150.543216 95.884577) (xy 150.695943 95.925501) (xy 150.695945 95.925501) (xy 150.861654 95.925501) + (xy 150.86167 95.9255) (xy 163.684996 95.9255) (xy 163.752035 95.945185) (xy 163.790535 95.984404) + (xy 163.802031 96.003043) (xy 163.921955 96.122967) (xy 163.921959 96.12297) (xy 164.066294 96.211998) + (xy 164.066297 96.211999) (xy 164.066303 96.212003) (xy 164.227292 96.265349) (xy 164.326655 96.2755) + (xy 165.173344 96.275499) (xy 165.173352 96.275498) (xy 165.173355 96.275498) (xy 165.236017 96.269097) + (xy 165.272708 96.265349) (xy 165.433697 96.212003) (xy 165.578044 96.122968) (xy 165.612819 96.088193) + (xy 165.674142 96.054708) (xy 165.743834 96.059692) (xy 165.799767 96.101564) (xy 165.824184 96.167028) + (xy 165.8245 96.175874) (xy 165.8245 108.63351) (xy 165.804815 108.700549) (xy 165.752011 108.746304) + (xy 165.682853 108.756248) (xy 165.640344 108.74084) (xy 165.640246 108.741051) (xy 165.637873 108.739944) + (xy 165.635405 108.73905) (xy 165.633702 108.737999) (xy 165.627155 108.734947) (xy 165.627904 108.733338) + (xy 165.578047 108.698816) (xy 165.551227 108.634299) (xy 165.5505 108.620887) (xy 165.5505 103.18906) + (xy 165.550501 103.189047) (xy 165.550501 103.020944) (xy 165.544993 103.000388) (xy 165.509577 102.868216) + (xy 165.485635 102.826746) (xy 165.430524 102.73129) (xy 165.430518 102.731282) (xy 162.81259 100.113355) + (xy 162.812588 100.113352) (xy 162.693717 99.994481) (xy 162.693716 99.99448) (xy 162.58996 99.934577) + (xy 162.589959 99.934576) (xy 162.556783 99.915422) (xy 162.500881 99.900443) (xy 162.404057 99.874499) + (xy 162.245943 99.874499) (xy 162.238347 99.874499) (xy 162.238331 99.8745) (xy 158.296085 99.8745) + (xy 158.13797 99.8745) (xy 157.985243 99.915423) (xy 157.959078 99.930529) (xy 157.952066 99.934578) + (xy 157.952065 99.934577) (xy 157.848315 99.994477) (xy 157.84831 99.994481) (xy 157.736506 100.106286) + (xy 156.368548 101.474243) (xy 156.307225 101.507728) (xy 156.240604 101.503843) (xy 156.134616 101.467457) + (xy 155.889335 101.426528) (xy 155.640665 101.426528) (xy 155.395383 101.467457) (xy 155.160197 101.548197) + (xy 155.160188 101.5482) (xy 154.941493 101.666552) (xy 154.745257 101.819289) (xy 154.576833 102.002245) + (xy 154.440826 102.210421) (xy 154.340936 102.438146) (xy 154.279892 102.679203) (xy 154.27989 102.679215) + (xy 154.259357 102.927022) (xy 154.259357 102.927033) (xy 154.27989 103.17484) (xy 154.279892 103.174852) + (xy 154.340936 103.415909) (xy 154.440826 103.643634) (xy 154.576833 103.85181) (xy 154.584623 103.860272) + (xy 154.745256 104.034766) (xy 154.941491 104.187502) (xy 154.952525 104.193473) (xy 155.002115 104.242693) + (xy 155.017223 104.310909) (xy 154.993053 104.376465) (xy 154.937277 104.418546) (xy 154.893507 104.426528) + (xy 152.221116 104.426528) (xy 152.154077 104.406843) (xy 152.108322 104.354039) (xy 152.10756 104.352339) + (xy 152.089172 104.310419) (xy 151.953166 104.102245) (xy 151.891047 104.034766) (xy 151.784744 103.91929) + (xy 151.588509 103.766554) (xy 151.588507 103.766553) (xy 151.588506 103.766552) (xy 151.369811 103.6482) + (xy 151.369802 103.648197) (xy 151.134616 103.567457) (xy 150.889335 103.526528) (xy 150.640665 103.526528) + (xy 150.395383 103.567457) (xy 150.160197 103.648197) (xy 150.160188 103.6482) (xy 149.941493 103.766552) + (xy 149.745257 103.919289) (xy 149.576833 104.102245) (xy 149.440826 104.310421) (xy 149.340936 104.538146) + (xy 149.279892 104.779203) (xy 149.27989 104.779215) (xy 149.259357 105.027022) (xy 149.259357 105.027033) + (xy 149.27989 105.27484) (xy 149.279892 105.274852) (xy 149.340936 105.515909) (xy 149.440826 105.743634) + (xy 149.576833 105.95181) (xy 149.576836 105.951813) (xy 149.745256 106.134766) (xy 149.941491 106.287502) + (xy 150.16019 106.405856) (xy 150.395386 106.486599) (xy 150.640665 106.527528) (xy 150.889335 106.527528) + (xy 151.134614 106.486599) (xy 151.36981 106.405856) (xy 151.588509 106.287502) (xy 151.784744 106.134766) + (xy 151.953164 105.951813) (xy 152.089173 105.743635) (xy 152.10756 105.701717) (xy 152.152517 105.648232) + (xy 152.219253 105.627542) (xy 152.221116 105.627528) (xy 159.308884 105.627528) (xy 159.375923 105.647213) + (xy 159.421678 105.700017) (xy 159.42244 105.701717) (xy 159.440827 105.743636) (xy 159.576833 105.95181) + (xy 159.576836 105.951813) (xy 159.745256 106.134766) (xy 159.941491 106.287502) (xy 160.16019 106.405856) + (xy 160.395386 106.486599) (xy 160.640665 106.527528) (xy 160.889335 106.527528) (xy 161.134614 106.486599) + (xy 161.36981 106.405856) (xy 161.588509 106.287502) (xy 161.784744 106.134766) (xy 161.953164 105.951813) + (xy 162.089173 105.743635) (xy 162.189063 105.515909) (xy 162.250108 105.274849) (xy 162.250109 105.27484) + (xy 162.270643 105.027033) (xy 162.270643 105.027022) (xy 162.250109 104.779215) (xy 162.250107 104.779203) + (xy 162.189063 104.538146) (xy 162.089173 104.310421) (xy 161.953166 104.102245) (xy 161.891047 104.034766) + (xy 161.784744 103.91929) (xy 161.588509 103.766554) (xy 161.588507 103.766553) (xy 161.588506 103.766552) + (xy 161.369811 103.6482) (xy 161.369802 103.648197) (xy 161.134616 103.567457) (xy 160.889335 103.526528) + (xy 160.640665 103.526528) (xy 160.395383 103.567457) (xy 160.160197 103.648197) (xy 160.160188 103.6482) + (xy 159.941493 103.766552) (xy 159.745257 103.919289) (xy 159.576833 104.102245) (xy 159.440827 104.310419) + (xy 159.42244 104.352339) (xy 159.377483 104.405824) (xy 159.310747 104.426514) (xy 159.308884 104.426528) + (xy 156.636493 104.426528) (xy 156.569454 104.406843) (xy 156.523699 104.354039) (xy 156.513755 104.284881) + (xy 156.54278 104.221325) (xy 156.577473 104.193473) (xy 156.588509 104.187502) (xy 156.784744 104.034766) + (xy 156.953164 103.851813) (xy 157.089173 103.643635) (xy 157.189063 103.415909) (xy 157.250108 103.174849) + (xy 157.250109 103.17484) (xy 157.270643 102.927033) (xy 157.270643 102.927022) (xy 157.250109 102.679215) + (xy 157.250108 102.679211) (xy 157.250108 102.679207) (xy 157.242489 102.649122) (xy 157.192688 102.452463) + (xy 157.189063 102.438147) (xy 157.189062 102.438146) (xy 157.188992 102.437867) (xy 157.191616 102.368046) + (xy 157.221514 102.319747) (xy 158.429444 101.111819) (xy 158.490767 101.078334) (xy 158.517125 101.0755) + (xy 162.024903 101.0755) (xy 162.091942 101.095185) (xy 162.112584 101.111819) (xy 164.313181 103.312416) + (xy 164.346666 103.373739) (xy 164.3495 103.400097) (xy 164.3495 108.620887) (xy 164.329815 108.687926) + (xy 164.277011 108.733681) (xy 164.267807 108.737295) (xy 164.266297 108.737999) (xy 164.121956 108.827031) + (xy 164.002032 108.946955) (xy 164.002029 108.946959) (xy 163.913001 109.091294) (xy 163.912996 109.091305) + (xy 163.859651 109.25229) (xy 163.8495 109.351647) (xy 163.8495 109.898337) (xy 163.849501 109.898355) + (xy 163.85965 109.997707) (xy 163.859651 109.99771) (xy 163.912996 110.158694) (xy 163.913001 110.158705) + (xy 164.002029 110.30304) (xy 164.002032 110.303044) (xy 164.121955 110.422967) (xy 164.121959 110.42297) + (xy 164.266294 110.511998) (xy 164.266297 110.511999) (xy 164.266303 110.512003) (xy 164.427292 110.565349) + (xy 164.526655 110.5755) (xy 165.373344 110.575499) (xy 165.373352 110.575498) (xy 165.373355 110.575498) + (xy 165.42776 110.56994) (xy 165.472708 110.565349) (xy 165.633697 110.512003) (xy 165.635401 110.510952) + (xy 165.636728 110.510588) (xy 165.640245 110.508949) (xy 165.640525 110.509549) (xy 165.702793 110.49251) + (xy 165.769457 110.513431) (xy 165.814228 110.567072) (xy 165.8245 110.616489) (xy 165.8245 111.149902) + (xy 165.804815 111.216941) (xy 165.788181 111.237583) (xy 165.087582 111.938181) (xy 165.026259 111.971666) + (xy 164.999901 111.9745) (xy 164.526662 111.9745) (xy 164.526644 111.974501) (xy 164.427292 111.98465) + (xy 164.427289 111.984651) (xy 164.266305 112.037996) (xy 164.266294 112.038001) (xy 164.121959 112.127029) + (xy 164.121955 112.127032) (xy 164.002031 112.246956) (xy 163.990535 112.265596) (xy 163.938588 112.312321) + (xy 163.884996 112.3245) (xy 150.275097 112.3245) (xy 150.208058 112.304815) (xy 150.187416 112.288181) + (xy 148.511819 110.612584) (xy 148.478334 110.551261) (xy 148.4755 110.524903) (xy 148.4755 108.913639) + (xy 149.1645 108.913639) (xy 149.169777 108.946955) (xy 149.190251 109.076228) (xy 149.191598 109.084729) + (xy 149.245127 109.249473) (xy 149.323768 109.403816) (xy 149.425586 109.543956) (xy 149.548072 109.666442) + (xy 149.688212 109.76826) (xy 149.842555 109.846901) (xy 150.007299 109.90043) (xy 150.178389 109.927528) + (xy 150.17839 109.927528) (xy 150.35161 109.927528) (xy 150.351611 109.927528) (xy 150.522701 109.90043) + (xy 150.687445 109.846901) (xy 150.841788 109.76826) (xy 150.981928 109.666442) (xy 151.104414 109.543956) + (xy 151.206232 109.403816) (xy 151.284873 109.249473) (xy 151.338402 109.084729) (xy 151.358968 108.954878) + (xy 153.8145 108.954878) (xy 153.832462 109.091303) (xy 153.847874 109.208368) (xy 153.91405 109.45534) + (xy 153.914053 109.45535) (xy 154.011894 109.691559) (xy 154.011899 109.69157) (xy 154.139734 109.912985) + (xy 154.139745 109.913001) (xy 154.295388 110.115839) (xy 154.295394 110.115846) (xy 154.476181 110.296633) + (xy 154.476187 110.296638) (xy 154.679035 110.452289) (xy 154.679042 110.452293) (xy 154.900457 110.580128) + (xy 154.900462 110.58013) (xy 154.900465 110.580132) (xy 155.136687 110.677978) (xy 155.38366 110.744154) + (xy 155.637157 110.777528) (xy 155.637164 110.777528) (xy 155.892836 110.777528) (xy 155.892843 110.777528) + (xy 156.14634 110.744154) (xy 156.393313 110.677978) (xy 156.629535 110.580132) (xy 156.850965 110.452289) + (xy 157.053813 110.296638) (xy 157.23461 110.115841) (xy 157.390261 109.912993) (xy 157.518104 109.691563) + (xy 157.61595 109.455341) (xy 157.682126 109.208368) (xy 157.7155 108.954871) (xy 157.7155 108.913639) + (xy 160.1645 108.913639) (xy 160.169777 108.946955) (xy 160.190251 109.076228) (xy 160.191598 109.084729) + (xy 160.245127 109.249473) (xy 160.323768 109.403816) (xy 160.425586 109.543956) (xy 160.548072 109.666442) + (xy 160.688212 109.76826) (xy 160.842555 109.846901) (xy 161.007299 109.90043) (xy 161.178389 109.927528) + (xy 161.17839 109.927528) (xy 161.35161 109.927528) (xy 161.351611 109.927528) (xy 161.522701 109.90043) + (xy 161.687445 109.846901) (xy 161.841788 109.76826) (xy 161.981928 109.666442) (xy 162.104414 109.543956) + (xy 162.206232 109.403816) (xy 162.284873 109.249473) (xy 162.338402 109.084729) (xy 162.3655 108.913639) + (xy 162.3655 108.740417) (xy 162.338402 108.569327) (xy 162.284873 108.404583) (xy 162.206232 108.25024) + (xy 162.104414 108.1101) (xy 161.981928 107.987614) (xy 161.841788 107.885796) (xy 161.687445 107.807155) + (xy 161.522701 107.753626) (xy 161.522699 107.753625) (xy 161.522698 107.753625) (xy 161.391271 107.732809) + (xy 161.351611 107.726528) (xy 161.178389 107.726528) (xy 161.138728 107.732809) (xy 161.007302 107.753625) + (xy 160.842552 107.807156) (xy 160.688211 107.885796) (xy 160.637565 107.922593) (xy 160.548072 107.987614) + (xy 160.54807 107.987616) (xy 160.548069 107.987616) (xy 160.425588 108.110097) (xy 160.425588 108.110098) + (xy 160.425586 108.1101) (xy 160.392586 108.15552) (xy 160.323768 108.250239) (xy 160.245128 108.40458) + (xy 160.191597 108.56933) (xy 160.170814 108.700549) (xy 160.1645 108.740417) (xy 160.1645 108.913639) + (xy 157.7155 108.913639) (xy 157.7155 108.699185) (xy 157.682126 108.445688) (xy 157.61595 108.198715) + (xy 157.518104 107.962493) (xy 157.518102 107.96249) (xy 157.5181 107.962485) (xy 157.395776 107.750616) + (xy 157.390261 107.741063) (xy 157.330041 107.662583) (xy 157.234611 107.538216) (xy 157.234605 107.538209) + (xy 157.053818 107.357422) (xy 157.053811 107.357416) (xy 156.850973 107.201773) (xy 156.850971 107.201771) + (xy 156.850965 107.201767) (xy 156.85096 107.201764) (xy 156.850957 107.201762) (xy 156.629542 107.073927) + (xy 156.629531 107.073922) (xy 156.393322 106.976081) (xy 156.393315 106.976079) (xy 156.393313 106.976078) + (xy 156.14634 106.909902) (xy 156.090007 106.902485) (xy 155.89285 106.876528) (xy 155.892843 106.876528) + (xy 155.637157 106.876528) (xy 155.637149 106.876528) (xy 155.411826 106.906193) (xy 155.38366 106.909902) + (xy 155.160546 106.969685) (xy 155.136687 106.976078) (xy 155.136677 106.976081) (xy 154.900468 107.073922) + (xy 154.900457 107.073927) (xy 154.679042 107.201762) (xy 154.679026 107.201773) (xy 154.476188 107.357416) + (xy 154.476181 107.357422) (xy 154.295394 107.538209) (xy 154.295388 107.538216) (xy 154.139745 107.741054) + (xy 154.139734 107.74107) (xy 154.011899 107.962485) (xy 154.011894 107.962496) (xy 153.914053 108.198705) + (xy 153.914051 108.198711) (xy 153.91405 108.198715) (xy 153.861934 108.393215) (xy 153.847874 108.445689) + (xy 153.8145 108.699177) (xy 153.8145 108.954878) (xy 151.358968 108.954878) (xy 151.3655 108.913639) + (xy 151.3655 108.740417) (xy 151.338402 108.569327) (xy 151.284873 108.404583) (xy 151.206232 108.25024) + (xy 151.104414 108.1101) (xy 150.981928 107.987614) (xy 150.841788 107.885796) (xy 150.687445 107.807155) + (xy 150.522701 107.753626) (xy 150.522699 107.753625) (xy 150.522698 107.753625) (xy 150.391271 107.732809) + (xy 150.351611 107.726528) (xy 150.178389 107.726528) (xy 150.138728 107.732809) (xy 150.007302 107.753625) + (xy 149.842552 107.807156) (xy 149.688211 107.885796) (xy 149.637565 107.922593) (xy 149.548072 107.987614) + (xy 149.54807 107.987616) (xy 149.548069 107.987616) (xy 149.425588 108.110097) (xy 149.425588 108.110098) + (xy 149.425586 108.1101) (xy 149.392586 108.15552) (xy 149.323768 108.250239) (xy 149.245128 108.40458) + (xy 149.191597 108.56933) (xy 149.170814 108.700549) (xy 149.1645 108.740417) (xy 149.1645 108.913639) + (xy 148.4755 108.913639) (xy 148.4755 108.714059) (xy 148.475501 108.714046) (xy 148.475501 108.545945) + (xy 148.475501 108.545943) (xy 148.434577 108.393215) (xy 148.434575 108.393212) (xy 148.434575 108.39321) + (xy 148.434574 108.393209) (xy 148.400846 108.334791) (xy 148.400844 108.334789) (xy 148.366613 108.275499) + (xy 148.35552 108.256284) (xy 148.243716 108.14448) (xy 148.243715 108.144479) (xy 148.239385 108.140149) + (xy 148.239374 108.140139) (xy 147.761818 107.662583) (xy 147.728333 107.60126) (xy 147.725499 107.574902) + (xy 147.725499 107.401662) (xy 147.725498 107.401644) (xy 147.715349 107.302292) (xy 147.715348 107.302289) + (xy 147.662003 107.141303) (xy 147.661999 107.141297) (xy 147.661998 107.141294) (xy 147.57297 106.996959) + (xy 147.572967 106.996955) (xy 147.453044 106.877032) (xy 147.45304 106.877029) (xy 147.308705 106.788001) + (xy 147.308699 106.787998) (xy 147.308697 106.787997) (xy 147.308694 106.787996) (xy 147.147709 106.734651) + (xy 147.048346 106.7245) (xy 146.201662 106.7245) (xy 146.201644 106.724501) (xy 146.102292 106.73465) + (xy 146.102289 106.734651) (xy 145.941305 106.787996) (xy 145.941294 106.788001) (xy 145.796959 106.877029) + (xy 145.711792 106.962196) (xy 145.650468 106.99568) (xy 145.580777 106.990696) (xy 145.53643 106.962195) + (xy 142.03759 103.463355) (xy 142.037588 103.463352) (xy 141.918717 103.344481) (xy 141.918709 103.344475) + (xy 141.808305 103.280734) (xy 141.808304 103.280733) (xy 141.808304 103.280734) (xy 141.781785 103.265423) + (xy 141.776053 103.263887) (xy 141.629057 103.224499) (xy 141.470943 103.224499) (xy 141.463347 103.224499) + (xy 141.463331 103.2245) (xy 131.075098 103.2245) (xy 131.008059 103.204815) (xy 130.987417 103.188181) + (xy 130.036819 102.237583) (xy 130.003334 102.17626) (xy 130.0005 102.149902) (xy 130.0005 101.082638) + (xy 130.9645 101.082638) (xy 130.989643 101.241389) (xy 130.991598 101.253729) (xy 131.045127 101.418473) + (xy 131.123768 101.572816) (xy 131.225586 101.712956) (xy 131.348072 101.835442) (xy 131.488212 101.93726) + (xy 131.642555 102.015901) (xy 131.807299 102.06943) (xy 131.978389 102.096528) (xy 131.97839 102.096528) + (xy 132.15161 102.096528) (xy 132.151611 102.096528) (xy 132.322701 102.06943) (xy 132.487445 102.015901) + (xy 132.641788 101.93726) (xy 132.781928 101.835442) (xy 132.904414 101.712956) (xy 133.006232 101.572816) + (xy 133.084873 101.418473) (xy 133.138402 101.253729) (xy 133.158968 101.123878) (xy 135.6145 101.123878) + (xy 135.629972 101.241392) (xy 135.647874 101.377368) (xy 135.693648 101.5482) (xy 135.71405 101.62434) + (xy 135.714053 101.62435) (xy 135.811894 101.860559) (xy 135.811899 101.86057) (xy 135.939734 102.081985) + (xy 135.939745 102.082001) (xy 136.095388 102.284839) (xy 136.095394 102.284846) (xy 136.276181 102.465633) + (xy 136.276188 102.465639) (xy 136.346355 102.51948) (xy 136.479035 102.621289) (xy 136.479042 102.621293) + (xy 136.700457 102.749128) (xy 136.700462 102.74913) (xy 136.700465 102.749132) (xy 136.746538 102.768216) + (xy 136.887837 102.826744) (xy 136.936687 102.846978) (xy 137.18366 102.913154) (xy 137.437157 102.946528) + (xy 137.437164 102.946528) (xy 137.692836 102.946528) (xy 137.692843 102.946528) (xy 137.94634 102.913154) + (xy 138.193313 102.846978) (xy 138.429535 102.749132) (xy 138.650965 102.621289) (xy 138.853813 102.465638) + (xy 139.03461 102.284841) (xy 139.190261 102.081993) (xy 139.318104 101.860563) (xy 139.41595 101.624341) + (xy 139.482126 101.377368) (xy 139.5155 101.123871) (xy 139.5155 101.082638) (xy 141.9645 101.082638) + (xy 141.989643 101.241389) (xy 141.991598 101.253729) (xy 142.045127 101.418473) (xy 142.123768 101.572816) + (xy 142.225586 101.712956) (xy 142.348072 101.835442) (xy 142.488212 101.93726) (xy 142.642555 102.015901) + (xy 142.807299 102.06943) (xy 142.978389 102.096528) (xy 142.97839 102.096528) (xy 143.15161 102.096528) + (xy 143.151611 102.096528) (xy 143.322701 102.06943) (xy 143.487445 102.015901) (xy 143.641788 101.93726) + (xy 143.781928 101.835442) (xy 143.904414 101.712956) (xy 144.006232 101.572816) (xy 144.084873 101.418473) + (xy 144.138402 101.253729) (xy 144.1655 101.082639) (xy 144.1655 100.909417) (xy 144.138402 100.738327) + (xy 144.084873 100.573583) (xy 144.006232 100.41924) (xy 143.904414 100.2791) (xy 143.781928 100.156614) + (xy 143.641788 100.054796) (xy 143.579325 100.02297) (xy 143.487447 99.976156) (xy 143.487446 99.976155) + (xy 143.487445 99.976155) (xy 143.322701 99.922626) (xy 143.322699 99.922625) (xy 143.322698 99.922625) + (xy 143.191271 99.901809) (xy 143.151611 99.895528) (xy 142.978389 99.895528) (xy 142.938728 99.901809) + (xy 142.807302 99.922625) (xy 142.642552 99.976156) (xy 142.488211 100.054796) (xy 142.431578 100.095943) + (xy 142.348072 100.156614) (xy 142.34807 100.156616) (xy 142.348069 100.156616) (xy 142.225588 100.279097) + (xy 142.225588 100.279098) (xy 142.225586 100.2791) (xy 142.181859 100.339284) (xy 142.123768 100.419239) + (xy 142.045128 100.57358) (xy 141.991597 100.73833) (xy 141.9645 100.909417) (xy 141.9645 101.082638) + (xy 139.5155 101.082638) (xy 139.5155 100.868185) (xy 139.482126 100.614688) (xy 139.41595 100.367715) + (xy 139.402223 100.334576) (xy 139.352374 100.214229) (xy 139.318104 100.131493) (xy 139.318102 100.13149) + (xy 139.3181 100.131485) (xy 139.190265 99.91007) (xy 139.190261 99.910063) (xy 139.11063 99.806286) + (xy 139.034611 99.707216) (xy 139.034605 99.707209) (xy 138.853818 99.526422) (xy 138.853811 99.526416) + (xy 138.650973 99.370773) (xy 138.650971 99.370771) (xy 138.650965 99.370767) (xy 138.65096 99.370764) + (xy 138.650957 99.370762) (xy 138.429542 99.242927) (xy 138.429531 99.242922) (xy 138.193322 99.145081) + (xy 138.193315 99.145079) (xy 138.193313 99.145078) (xy 137.94634 99.078902) (xy 137.890007 99.071485) + (xy 137.69285 99.045528) (xy 137.692843 99.045528) (xy 137.437157 99.045528) (xy 137.437149 99.045528) + (xy 137.211826 99.075193) (xy 137.18366 99.078902) (xy 136.962427 99.138181) (xy 136.936687 99.145078) + (xy 136.936677 99.145081) (xy 136.700468 99.242922) (xy 136.700457 99.242927) (xy 136.479042 99.370762) + (xy 136.479026 99.370773) (xy 136.276188 99.526416) (xy 136.276181 99.526422) (xy 136.095394 99.707209) + (xy 136.095388 99.707216) (xy 135.939745 99.910054) (xy 135.939734 99.91007) (xy 135.811899 100.131485) + (xy 135.811894 100.131496) (xy 135.714053 100.367705) (xy 135.71405 100.367715) (xy 135.688424 100.463355) + (xy 135.647874 100.614689) (xy 135.6145 100.868177) (xy 135.6145 101.123878) (xy 133.158968 101.123878) + (xy 133.1655 101.082639) (xy 133.1655 100.909417) (xy 133.138402 100.738327) (xy 133.084873 100.573583) + (xy 133.006232 100.41924) (xy 132.904414 100.2791) (xy 132.781928 100.156614) (xy 132.641788 100.054796) + (xy 132.579325 100.02297) (xy 132.487447 99.976156) (xy 132.487446 99.976155) (xy 132.487445 99.976155) + (xy 132.322701 99.922626) (xy 132.322699 99.922625) (xy 132.322698 99.922625) (xy 132.191271 99.901809) + (xy 132.151611 99.895528) (xy 131.978389 99.895528) (xy 131.938728 99.901809) (xy 131.807302 99.922625) + (xy 131.642552 99.976156) (xy 131.488211 100.054796) (xy 131.431578 100.095943) (xy 131.348072 100.156614) + (xy 131.34807 100.156616) (xy 131.348069 100.156616) (xy 131.225588 100.279097) (xy 131.225588 100.279098) + (xy 131.225586 100.2791) (xy 131.181859 100.339284) (xy 131.123768 100.419239) (xy 131.045128 100.57358) + (xy 130.991597 100.73833) (xy 130.9645 100.909417) (xy 130.9645 101.082638) (xy 130.0005 101.082638) + (xy 130.0005 100.264059) (xy 130.000501 100.264046) (xy 130.000501 100.095945) (xy 130.000501 100.095943) + (xy 129.959577 99.943215) (xy 129.920482 99.875501) (xy 129.88052 99.806284) (xy 129.768716 99.69448) + (xy 129.768715 99.694479) (xy 129.764385 99.690149) (xy 129.764374 99.690139) (xy 129.586818 99.512583) + (xy 129.553333 99.45126) (xy 129.550499 99.424902) (xy 129.550499 98.951662) (xy 129.550498 98.951644) + (xy 129.540349 98.852292) (xy 129.540348 98.852289) (xy 129.527354 98.813076) (xy 129.487003 98.691303) + (xy 129.486999 98.691297) (xy 129.486998 98.691294) (xy 129.39797 98.546959) (xy 129.397967 98.546955) + (xy 129.278044 98.427032) (xy 129.27804 98.427029) (xy 129.133705 98.338001) (xy 129.133699 98.337998) + (xy 129.133697 98.337997) (xy 129.093735 98.324755) (xy 128.972709 98.284651) (xy 128.873352 98.2745) + (xy 128.873345 98.2745) (xy 128.300097 98.2745) (xy 128.233058 98.254815) (xy 128.212416 98.238181) + (xy 127.170268 97.196033) (xy 131.059357 97.196033) (xy 131.07989 97.44384) (xy 131.079892 97.443852) + (xy 131.140936 97.684909) (xy 131.240826 97.912634) (xy 131.376833 98.12081) (xy 131.379453 98.123656) + (xy 131.545256 98.303766) (xy 131.741491 98.456502) (xy 131.96019 98.574856) (xy 132.195386 98.655599) + (xy 132.440665 98.696528) (xy 132.689335 98.696528) (xy 132.934614 98.655599) (xy 133.16981 98.574856) + (xy 133.388509 98.456502) (xy 133.584744 98.303766) (xy 133.753164 98.120813) (xy 133.889173 97.912635) + (xy 133.90756 97.870717) (xy 133.952517 97.817232) (xy 134.019253 97.796542) (xy 134.021116 97.796528) + (xy 141.108884 97.796528) (xy 141.175923 97.816213) (xy 141.221678 97.869017) (xy 141.22244 97.870717) + (xy 141.240827 97.912636) (xy 141.376833 98.12081) (xy 141.379453 98.123656) (xy 141.545256 98.303766) + (xy 141.741491 98.456502) (xy 141.96019 98.574856) (xy 142.195386 98.655599) (xy 142.440665 98.696528) + (xy 142.689335 98.696528) (xy 142.934614 98.655599) (xy 143.16981 98.574856) (xy 143.388509 98.456502) + (xy 143.584744 98.303766) (xy 143.753164 98.120813) (xy 143.889173 97.912635) (xy 143.989063 97.684909) + (xy 144.050108 97.443849) (xy 144.053039 97.408475) (xy 144.070643 97.196033) (xy 144.070643 97.196022) + (xy 144.050109 96.948215) (xy 144.050107 96.948203) (xy 143.989063 96.707146) (xy 143.889173 96.479421) + (xy 143.753166 96.271245) (xy 143.731557 96.247772) (xy 143.584744 96.08829) (xy 143.388509 95.935554) + (xy 143.388507 95.935553) (xy 143.388506 95.935552) (xy 143.169811 95.8172) (xy 143.169802 95.817197) + (xy 142.934616 95.736457) (xy 142.689335 95.695528) (xy 142.440665 95.695528) (xy 142.195383 95.736457) + (xy 141.960197 95.817197) (xy 141.960188 95.8172) (xy 141.741493 95.935552) (xy 141.545257 96.088289) + (xy 141.376833 96.271245) (xy 141.240827 96.479419) (xy 141.22244 96.521339) (xy 141.177483 96.574824) + (xy 141.110747 96.595514) (xy 141.108884 96.595528) (xy 138.436493 96.595528) (xy 138.369454 96.575843) + (xy 138.323699 96.523039) (xy 138.313755 96.453881) (xy 138.34278 96.390325) (xy 138.377473 96.362473) + (xy 138.388509 96.356502) (xy 138.584744 96.203766) (xy 138.753164 96.020813) (xy 138.889173 95.812635) + (xy 138.989063 95.584909) (xy 139.050108 95.343849) (xy 139.051266 95.329878) (xy 139.070643 95.096033) + (xy 139.070643 95.096022) (xy 139.050109 94.848215) (xy 139.050108 94.848211) (xy 139.050108 94.848207) + (xy 139.048843 94.843213) (xy 138.993645 94.62524) (xy 138.989063 94.607147) (xy 138.989062 94.607146) + (xy 138.988992 94.606867) (xy 138.991616 94.537046) (xy 139.021514 94.488747) (xy 140.223444 93.286819) + (xy 140.284767 93.253334) (xy 140.311125 93.2505) (xy 143.899903 93.2505) (xy 143.966942 93.270185) + (xy 143.987584 93.286819) (xy 145.988181 95.287416) (xy 146.021666 95.348739) (xy 146.0245 95.375097) + (xy 146.0245 103.370887) (xy 146.004815 103.437926) (xy 145.952011 103.483681) (xy 145.942807 103.487295) + (xy 145.941297 103.487999) (xy 145.796956 103.577031) (xy 145.677032 103.696955) (xy 145.677029 103.696959) + (xy 145.588001 103.841294) (xy 145.587996 103.841305) (xy 145.534651 104.00229) (xy 145.5245 104.101647) + (xy 145.5245 104.648337) (xy 145.524501 104.648355) (xy 145.53465 104.747707) (xy 145.534651 104.74771) + (xy 145.587996 104.908694) (xy 145.588001 104.908705) (xy 145.677029 105.05304) (xy 145.677032 105.053044) + (xy 145.796955 105.172967) (xy 145.796959 105.17297) (xy 145.941294 105.261998) (xy 145.941297 105.261999) + (xy 145.941303 105.262003) (xy 146.102292 105.315349) (xy 146.201655 105.3255) (xy 147.048344 105.325499) + (xy 147.048352 105.325498) (xy 147.048355 105.325498) (xy 147.10276 105.31994) (xy 147.147708 105.315349) + (xy 147.308697 105.262003) (xy 147.453044 105.172968) (xy 147.572968 105.053044) (xy 147.662003 104.908697) + (xy 147.715349 104.747708) (xy 147.7255 104.648345) (xy 147.725499 104.101656) (xy 147.72428 104.089727) + (xy 147.715349 104.002292) (xy 147.715348 104.002289) (xy 147.687845 103.91929) (xy 147.662003 103.841303) + (xy 147.661999 103.841297) (xy 147.661998 103.841294) (xy 147.57297 103.696959) (xy 147.572967 103.696955) + (xy 147.453043 103.577031) (xy 147.308702 103.487999) (xy 147.302155 103.484947) (xy 147.302904 103.483338) + (xy 147.253047 103.448816) (xy 147.226227 103.384299) (xy 147.2255 103.370887) (xy 147.2255 95.164059) + (xy 147.225501 95.164046) (xy 147.225501 94.995945) (xy 147.225501 94.995943) (xy 147.184577 94.843215) + (xy 147.147839 94.779583) (xy 147.147839 94.779582) (xy 147.105524 94.70629) (xy 147.105521 94.706286) + (xy 147.10552 94.706284) (xy 146.993716 94.59448) (xy 146.993715 94.594479) (xy 146.989385 94.590149) + (xy 146.989374 94.590139) (xy 144.68759 92.288355) (xy 144.687588 92.288352) (xy 144.568717 92.169481) + (xy 144.568716 92.16948) (xy 144.458594 92.105901) (xy 144.458592 92.1059) (xy 144.431785 92.090423) + (xy 144.431784 92.090422) (xy 144.431783 92.090422) (xy 144.375881 92.075443) (xy 144.279057 92.049499) + (xy 144.120943 92.049499) (xy 144.113347 92.049499) (xy 144.113331 92.0495) (xy 140.097697 92.0495) + (xy 140.097681 92.049499) (xy 140.090085 92.049499) (xy 139.931971 92.049499) (xy 139.779243 92.090423) + (xy 139.779242 92.090423) (xy 139.77924 92.090424) (xy 139.752436 92.1059) (xy 139.752435 92.105901) + (xy 139.730381 92.118634) (xy 139.642313 92.169479) (xy 139.64231 92.169481) (xy 139.530506 92.281286) + (xy 138.168548 93.643243) (xy 138.107225 93.676728) (xy 138.040604 93.672843) (xy 137.934616 93.636457) + (xy 137.689335 93.595528) (xy 137.440665 93.595528) (xy 137.195383 93.636457) (xy 136.960197 93.717197) + (xy 136.960188 93.7172) (xy 136.741493 93.835552) (xy 136.545257 93.988289) (xy 136.376833 94.171245) + (xy 136.240826 94.379421) (xy 136.140936 94.607146) (xy 136.079892 94.848203) (xy 136.07989 94.848215) + (xy 136.059357 95.096022) (xy 136.059357 95.096033) (xy 136.07989 95.34384) (xy 136.079892 95.343852) + (xy 136.140936 95.584909) (xy 136.240826 95.812634) (xy 136.376833 96.02081) (xy 136.395826 96.041442) + (xy 136.545256 96.203766) (xy 136.741491 96.356502) (xy 136.752525 96.362473) (xy 136.802115 96.411693) + (xy 136.817223 96.479909) (xy 136.793053 96.545465) (xy 136.737277 96.587546) (xy 136.693507 96.595528) + (xy 134.021116 96.595528) (xy 133.954077 96.575843) (xy 133.908322 96.523039) (xy 133.90756 96.521339) + (xy 133.889172 96.479419) (xy 133.753166 96.271245) (xy 133.731557 96.247772) (xy 133.584744 96.08829) + (xy 133.388509 95.935554) (xy 133.388507 95.935553) (xy 133.388506 95.935552) (xy 133.169811 95.8172) + (xy 133.169802 95.817197) (xy 132.934616 95.736457) (xy 132.689335 95.695528) (xy 132.440665 95.695528) + (xy 132.195383 95.736457) (xy 131.960197 95.817197) (xy 131.960188 95.8172) (xy 131.741493 95.935552) + (xy 131.545257 96.088289) (xy 131.376833 96.271245) (xy 131.240826 96.479421) (xy 131.140936 96.707146) + (xy 131.079892 96.948203) (xy 131.07989 96.948215) (xy 131.059357 97.196022) (xy 131.059357 97.196033) + (xy 127.170268 97.196033) (xy 122.91259 92.938355) (xy 122.912588 92.938352) (xy 122.793717 92.819481) + (xy 122.793709 92.819475) (xy 122.6969 92.763583) (xy 122.696898 92.763582) (xy 122.65679 92.740425) + (xy 122.656789 92.740424) (xy 122.628082 92.732732) (xy 122.504057 92.699499) (xy 122.345943 92.699499) + (xy 122.338347 92.699499) (xy 122.338331 92.6995) (xy 117.636669 92.6995) (xy 117.636653 92.699499) + (xy 117.629057 92.699499) (xy 117.470943 92.699499) (xy 117.363587 92.728265) (xy 117.31821 92.740424) + (xy 117.318209 92.740425) (xy 117.278102 92.763582) (xy 117.2781 92.763583) (xy 117.18129 92.819475) + (xy 117.181282 92.819481) (xy 117.098236 92.902528) (xy 117.06948 92.931284) (xy 117.069478 92.931286) + (xy 114.199103 95.801662) (xy 111.362584 98.638181) (xy 111.301261 98.671666) (xy 111.274903 98.6745) + (xy 111.165004 98.6745) (xy 111.097965 98.654815) (xy 111.059465 98.615596) (xy 111.056808 98.611289) + (xy 111.047968 98.596956) (xy 110.928044 98.477032) (xy 110.92804 98.477029) (xy 110.783705 98.388001) + (xy 110.783699 98.387998) (xy 110.783697 98.387997) (xy 110.670535 98.350499) (xy 110.622709 98.334651) + (xy 110.523346 98.3245) (xy 109.676662 98.3245) (xy 109.676644 98.324501) (xy 109.577292 98.33465) + (xy 109.577289 98.334651) (xy 109.416305 98.387996) (xy 109.416294 98.388001) (xy 109.271959 98.477029) + (xy 109.271955 98.477032) (xy 109.152032 98.596955) (xy 109.152029 98.596959) (xy 109.078852 98.715597) + (xy 109.026904 98.762322) (xy 108.973314 98.7745) (xy 92.890004 98.7745) (xy 92.822965 98.754815) + (xy 92.784465 98.715596) (xy 92.772968 98.696956) (xy 92.653044 98.577032) (xy 92.65304 98.577029) + (xy 92.508705 98.488001) (xy 92.508699 98.487998) (xy 92.508697 98.487997) (xy 92.475607 98.477032) + (xy 92.347709 98.434651) (xy 92.248346 98.4245) (xy 91.401662 98.4245) (xy 91.401644 98.424501) + (xy 91.302292 98.43465) (xy 91.302289 98.434651) (xy 91.141305 98.487996) (xy 91.141294 98.488001) + (xy 90.996959 98.577029) (xy 90.996955 98.577032) (xy 90.877032 98.696955) (xy 90.877029 98.696959) + (xy 90.788001 98.841294) (xy 90.787996 98.841305) (xy 90.734651 99.00229) (xy 90.7245 99.101647) + (xy 90.7245 99.648337) (xy 90.724501 99.648355) (xy 90.73465 99.747707) (xy 90.734651 99.74771) + (xy 90.787996 99.908694) (xy 90.788001 99.908705) (xy 90.86615 100.035403) (xy 90.884591 100.102795) + (xy 90.863669 100.169459) (xy 90.810027 100.214229) (xy 90.760612 100.2245) (xy 89.475097 100.2245) + (xy 89.408058 100.204815) (xy 89.387416 100.188181) (xy 86.911819 97.712584) (xy 86.878334 97.651261) + (xy 86.8755 97.624903) (xy 86.8755 97.072639) (xy 87.2395 97.072639) (xy 87.240949 97.081786) (xy 87.259043 97.196033) + (xy 87.266598 97.243729) (xy 87.320127 97.408473) (xy 87.398768 97.562816) (xy 87.500586 97.702956) + (xy 87.623072 97.825442) (xy 87.763212 97.92726) (xy 87.917555 98.005901) (xy 88.082299 98.05943) + (xy 88.253389 98.086528) (xy 88.25339 98.086528) (xy 88.42661 98.086528) (xy 88.426611 98.086528) + (xy 88.597701 98.05943) (xy 88.762445 98.005901) (xy 88.916788 97.92726) (xy 89.056928 97.825442) + (xy 89.179414 97.702956) (xy 89.281232 97.562816) (xy 89.359873 97.408473) (xy 89.413402 97.243729) + (xy 89.4405 97.072639) (xy 89.4405 96.899417) (xy 89.413402 96.728327) (xy 89.359873 96.563583) + (xy 89.281232 96.40924) (xy 89.179414 96.2691) (xy 89.056928 96.146614) (xy 88.916788 96.044796) + (xy 88.762445 95.966155) (xy 88.597701 95.912626) (xy 88.597699 95.912625) (xy 88.597698 95.912625) + (xy 88.466271 95.891809) (xy 88.426611 95.885528) (xy 88.253389 95.885528) (xy 88.213728 95.891809) + (xy 88.082302 95.912625) (xy 87.917552 95.966156) (xy 87.763211 96.044796) (xy 87.703481 96.088193) + (xy 87.623072 96.146614) (xy 87.62307 96.146616) (xy 87.623069 96.146616) (xy 87.500588 96.269097) + (xy 87.500588 96.269098) (xy 87.500586 96.2691) (xy 87.4798 96.29771) (xy 87.398768 96.409239) (xy 87.320128 96.56358) + (xy 87.266597 96.72833) (xy 87.245426 96.862003) (xy 87.2395 96.899417) (xy 87.2395 97.072639) (xy 86.8755 97.072639) + (xy 86.8755 96.500096) (xy 86.895185 96.433057) (xy 86.911814 96.41242) (xy 88.198506 95.125727) + (xy 88.198511 95.125724) (xy 88.208714 95.11552) (xy 88.208716 95.11552) (xy 88.32052 95.003716) + (xy 88.374871 94.909577) (xy 88.399577 94.866785) (xy 88.4405 94.714058) (xy 88.4405 94.641076) + (xy 88.460185 94.574037) (xy 88.505481 94.532021) (xy 88.663509 94.446502) (xy 88.859744 94.293766) + (xy 89.028164 94.110813) (xy 89.164173 93.902635) (xy 89.264063 93.674909) (xy 89.325108 93.433849) + (xy 89.325109 93.43384) (xy 89.345643 93.186033) (xy 89.345643 93.186022) (xy 89.325109 92.938215) + (xy 89.325107 92.938203) (xy 89.264063 92.697146) (xy 89.164173 92.469421) (xy 89.028166 92.261245) + (xy 88.989581 92.219331) (xy 88.859744 92.07829) (xy 88.663509 91.925554) (xy 88.663507 91.925553) + (xy 88.663506 91.925552) (xy 88.444811 91.8072) (xy 88.444802 91.807197) (xy 88.209616 91.726457) + (xy 87.964335 91.685528) (xy 87.715665 91.685528) (xy 87.470383 91.726457) (xy 87.235197 91.807197) + (xy 87.235188 91.8072) (xy 87.016493 91.925552) (xy 86.820257 92.078289) (xy 86.651833 92.261245) + (xy 86.515826 92.469421) (xy 86.425515 92.67531) (xy 86.380559 92.728796) (xy 86.313823 92.749486) + (xy 86.311959 92.7495) (xy 83.358763 92.7495) (xy 83.291724 92.729815) (xy 83.245969 92.677011) + (xy 83.236025 92.607853) (xy 83.26505 92.544297) (xy 83.3185 92.508219) (xy 83.37789 92.487829) + (xy 83.44481 92.464856) (xy 83.663509 92.346502) (xy 83.859744 92.193766) (xy 84.028164 92.010813) + (xy 84.164173 91.802635) (xy 84.264063 91.574909) (xy 84.325108 91.333849) (xy 84.325349 91.330943) + (xy 84.345643 91.086033) (xy 84.345643 91.086022) (xy 84.325109 90.838215) (xy 84.325108 90.838211) + (xy 84.325108 90.838207) (xy 84.320056 90.818259) (xy 84.263992 90.596867) (xy 84.266616 90.527046) + (xy 84.296514 90.478747) (xy 85.288444 89.486819) (xy 85.349767 89.453334) (xy 85.376125 89.4505) + (xy 89.224903 89.4505) (xy 89.291942 89.470185) (xy 89.312584 89.486819) (xy 91.188181 91.362416) + (xy 91.221666 91.423739) (xy 91.2245 91.450097) (xy 91.2245 95.070887) (xy 91.204815 95.137926) + (xy 91.152011 95.183681) (xy 91.142807 95.187295) (xy 91.141297 95.187999) (xy 90.996956 95.277031) + (xy 90.877032 95.396955) (xy 90.877029 95.396959) (xy 90.788001 95.541294) (xy 90.787996 95.541305) + (xy 90.734651 95.70229) (xy 90.7245 95.801647) (xy 90.7245 96.348337) (xy 90.724501 96.348355) (xy 90.73465 96.447707) + (xy 90.734651 96.44771) (xy 90.787996 96.608694) (xy 90.788001 96.608705) (xy 90.877029 96.75304) + (xy 90.877032 96.753044) (xy 90.996955 96.872967) (xy 90.996959 96.87297) (xy 91.141294 96.961998) + (xy 91.141297 96.961999) (xy 91.141303 96.962003) (xy 91.302292 97.015349) (xy 91.401655 97.0255) + (xy 92.248344 97.025499) (xy 92.248352 97.025498) (xy 92.248355 97.025498) (xy 92.30276 97.01994) + (xy 92.347708 97.015349) (xy 92.508697 96.962003) (xy 92.653044 96.872968) (xy 92.772968 96.753044) + (xy 92.862003 96.608697) (xy 92.915349 96.447708) (xy 92.9255 96.348345) (xy 92.925499 95.801656) + (xy 92.919766 95.745537) (xy 92.915349 95.702292) (xy 92.915348 95.702289) (xy 92.89857 95.651656) + (xy 92.862003 95.541303) (xy 92.861999 95.541297) (xy 92.861998 95.541294) (xy 92.77297 95.396959) + (xy 92.772967 95.396955) (xy 92.66465 95.288638) (xy 94.4645 95.288638) (xy 94.488679 95.441303) + (xy 94.491598 95.459729) (xy 94.545127 95.624473) (xy 94.623768 95.778816) (xy 94.725586 95.918956) + (xy 94.848072 96.041442) (xy 94.988212 96.14326) (xy 95.142555 96.221901) (xy 95.307299 96.27543) + (xy 95.478389 96.302528) (xy 95.47839 96.302528) (xy 95.65161 96.302528) (xy 95.651611 96.302528) + (xy 95.822701 96.27543) (xy 95.987445 96.221901) (xy 96.141788 96.14326) (xy 96.281928 96.041442) + (xy 96.404414 95.918956) (xy 96.506232 95.778816) (xy 96.584873 95.624473) (xy 96.638402 95.459729) + (xy 96.658968 95.329878) (xy 99.1145 95.329878) (xy 99.131596 95.459725) (xy 99.147874 95.583368) + (xy 99.209306 95.812635) (xy 99.21405 95.83034) (xy 99.214053 95.83035) (xy 99.311894 96.066559) + (xy 99.311899 96.06657) (xy 99.439734 96.287985) (xy 99.439745 96.288001) (xy 99.595388 96.490839) + (xy 99.595394 96.490846) (xy 99.776181 96.671633) (xy 99.776187 96.671638) (xy 99.979035 96.827289) + (xy 99.979042 96.827293) (xy 100.200457 96.955128) (xy 100.200462 96.95513) (xy 100.200465 96.955132) + (xy 100.436687 97.052978) (xy 100.68366 97.119154) (xy 100.937157 97.152528) (xy 100.937164 97.152528) + (xy 101.192836 97.152528) (xy 101.192843 97.152528) (xy 101.44634 97.119154) (xy 101.693313 97.052978) + (xy 101.929535 96.955132) (xy 102.150965 96.827289) (xy 102.353813 96.671638) (xy 102.53461 96.490841) + (xy 102.690261 96.287993) (xy 102.818104 96.066563) (xy 102.91595 95.830341) (xy 102.982126 95.583368) + (xy 103.0155 95.329871) (xy 103.0155 95.288638) (xy 105.4645 95.288638) (xy 105.488679 95.441303) + (xy 105.491598 95.459729) (xy 105.545127 95.624473) (xy 105.623768 95.778816) (xy 105.725586 95.918956) + (xy 105.848072 96.041442) (xy 105.988212 96.14326) (xy 106.142555 96.221901) (xy 106.307299 96.27543) + (xy 106.478389 96.302528) (xy 106.47839 96.302528) (xy 106.65161 96.302528) (xy 106.651611 96.302528) + (xy 106.822701 96.27543) (xy 106.987445 96.221901) (xy 107.141788 96.14326) (xy 107.281928 96.041442) + (xy 107.404414 95.918956) (xy 107.506232 95.778816) (xy 107.584873 95.624473) (xy 107.638402 95.459729) + (xy 107.6655 95.288639) (xy 107.6655 95.115417) (xy 107.638402 94.944327) (xy 107.584873 94.779583) + (xy 107.506232 94.62524) (xy 107.404414 94.4851) (xy 107.281928 94.362614) (xy 107.141788 94.260796) + (xy 106.987445 94.182155) (xy 106.822701 94.128626) (xy 106.822699 94.128625) (xy 106.822698 94.128625) + (xy 106.691271 94.107809) (xy 106.651611 94.101528) (xy 106.478389 94.101528) (xy 106.438728 94.107809) + (xy 106.307302 94.128625) (xy 106.142552 94.182156) (xy 105.988211 94.260796) (xy 105.908256 94.318887) + (xy 105.848072 94.362614) (xy 105.84807 94.362616) (xy 105.848069 94.362616) (xy 105.725588 94.485097) + (xy 105.725588 94.485098) (xy 105.725586 94.4851) (xy 105.723329 94.488207) (xy 105.623768 94.625239) + (xy 105.545128 94.77958) (xy 105.491597 94.94433) (xy 105.4645 95.115417) (xy 105.4645 95.288638) + (xy 103.0155 95.288638) (xy 103.0155 95.074185) (xy 102.982126 94.820688) (xy 102.91595 94.573715) + (xy 102.91228 94.564856) (xy 102.849016 94.412121) (xy 102.818104 94.337493) (xy 102.818102 94.33749) + (xy 102.8181 94.337485) (xy 102.690265 94.11607) (xy 102.690261 94.116063) (xy 102.592217 93.98829) + (xy 102.534611 93.913216) (xy 102.534605 93.913209) (xy 102.353818 93.732422) (xy 102.353811 93.732416) + (xy 102.150973 93.576773) (xy 102.150971 93.576771) (xy 102.150965 93.576767) (xy 102.15096 93.576764) + (xy 102.150957 93.576762) (xy 101.929542 93.448927) (xy 101.929531 93.448922) (xy 101.693322 93.351081) + (xy 101.693315 93.351079) (xy 101.693313 93.351078) (xy 101.44634 93.284902) (xy 101.390007 93.277485) + (xy 101.19285 93.251528) (xy 101.192843 93.251528) (xy 100.937157 93.251528) (xy 100.937149 93.251528) + (xy 100.711826 93.281193) (xy 100.68366 93.284902) (xy 100.436687 93.351078) (xy 100.436677 93.351081) + (xy 100.200468 93.448922) (xy 100.200457 93.448927) (xy 99.979042 93.576762) (xy 99.979026 93.576773) + (xy 99.776188 93.732416) (xy 99.776181 93.732422) (xy 99.595394 93.913209) (xy 99.595388 93.913216) + (xy 99.439745 94.116054) (xy 99.439734 94.11607) (xy 99.311899 94.337485) (xy 99.311894 94.337496) + (xy 99.214053 94.573705) (xy 99.21405 94.573715) (xy 99.147874 94.820688) (xy 99.144908 94.843215) + (xy 99.1145 95.074177) (xy 99.1145 95.329878) (xy 96.658968 95.329878) (xy 96.6655 95.288639) (xy 96.6655 95.115417) + (xy 96.638402 94.944327) (xy 96.584873 94.779583) (xy 96.506232 94.62524) (xy 96.404414 94.4851) + (xy 96.281928 94.362614) (xy 96.141788 94.260796) (xy 95.987445 94.182155) (xy 95.822701 94.128626) + (xy 95.822699 94.128625) (xy 95.822698 94.128625) (xy 95.691271 94.107809) (xy 95.651611 94.101528) + (xy 95.478389 94.101528) (xy 95.438728 94.107809) (xy 95.307302 94.128625) (xy 95.142552 94.182156) + (xy 94.988211 94.260796) (xy 94.908256 94.318887) (xy 94.848072 94.362614) (xy 94.84807 94.362616) + (xy 94.848069 94.362616) (xy 94.725588 94.485097) (xy 94.725588 94.485098) (xy 94.725586 94.4851) + (xy 94.723329 94.488207) (xy 94.623768 94.625239) (xy 94.545128 94.77958) (xy 94.491597 94.94433) + (xy 94.4645 95.115417) (xy 94.4645 95.288638) (xy 92.66465 95.288638) (xy 92.653043 95.277031) (xy 92.508702 95.187999) + (xy 92.502155 95.184947) (xy 92.502904 95.183338) (xy 92.453047 95.148816) (xy 92.426227 95.084299) + (xy 92.4255 95.070887) (xy 92.4255 91.402033) (xy 94.559357 91.402033) (xy 94.57989 91.64984) (xy 94.579892 91.649852) + (xy 94.640936 91.890909) (xy 94.740826 92.118634) (xy 94.876833 92.32681) (xy 94.876836 92.326813) + (xy 95.045256 92.509766) (xy 95.241491 92.662502) (xy 95.309857 92.6995) (xy 95.365874 92.729815) + (xy 95.46019 92.780856) (xy 95.695386 92.861599) (xy 95.940665 92.902528) (xy 96.189335 92.902528) + (xy 96.434614 92.861599) (xy 96.66981 92.780856) (xy 96.888509 92.662502) (xy 97.084744 92.509766) + (xy 97.171506 92.415517) (xy 97.231393 92.379526) (xy 97.262736 92.3755) (xy 104.867264 92.3755) + (xy 104.934303 92.395185) (xy 104.958494 92.415517) (xy 105.045256 92.509766) (xy 105.241491 92.662502) + (xy 105.309857 92.6995) (xy 105.365874 92.729815) (xy 105.46019 92.780856) (xy 105.695386 92.861599) + (xy 105.940665 92.902528) (xy 106.189335 92.902528) (xy 106.434614 92.861599) (xy 106.66981 92.780856) + (xy 106.888509 92.662502) (xy 107.084744 92.509766) (xy 107.253164 92.326813) (xy 107.389173 92.118635) + (xy 107.489063 91.890909) (xy 107.550108 91.649849) (xy 107.551742 91.630128) (xy 107.570643 91.402033) + (xy 107.570643 91.402022) (xy 107.550109 91.154215) (xy 107.550107 91.154203) (xy 107.489063 90.913146) + (xy 107.389173 90.685421) (xy 107.253166 90.477245) (xy 107.231557 90.453772) (xy 107.084744 90.29429) + (xy 106.888509 90.141554) (xy 106.888507 90.141553) (xy 106.888506 90.141552) (xy 106.669811 90.0232) + (xy 106.669802 90.023197) (xy 106.434616 89.942457) (xy 106.189335 89.901528) (xy 105.940665 89.901528) + (xy 105.695383 89.942457) (xy 105.460197 90.023197) (xy 105.460188 90.0232) (xy 105.241493 90.141552) + (xy 105.045257 90.294289) (xy 104.876833 90.477245) (xy 104.740826 90.685421) (xy 104.640937 90.913146) + (xy 104.628316 90.962985) (xy 104.600978 91.070943) (xy 104.598446 91.08094) (xy 104.562906 91.141096) + (xy 104.500486 91.172488) (xy 104.47824 91.1745) (xy 97.65176 91.1745) (xy 97.584721 91.154815) + (xy 97.538966 91.102011) (xy 97.531554 91.08094) (xy 97.489063 90.913147) (xy 97.389173 90.685421) + (xy 97.331501 90.597147) (xy 97.253166 90.477245) (xy 97.231557 90.453772) (xy 97.084744 90.29429) + (xy 96.888509 90.141554) (xy 96.888507 90.141553) (xy 96.888506 90.141552) (xy 96.669811 90.0232) + (xy 96.669802 90.023197) (xy 96.434616 89.942457) (xy 96.189335 89.901528) (xy 95.940665 89.901528) + (xy 95.695383 89.942457) (xy 95.460197 90.023197) (xy 95.460188 90.0232) (xy 95.241493 90.141552) + (xy 95.045257 90.294289) (xy 94.876833 90.477245) (xy 94.740826 90.685421) (xy 94.640936 90.913146) + (xy 94.579892 91.154203) (xy 94.57989 91.154215) (xy 94.559357 91.402022) (xy 94.559357 91.402033) + (xy 92.4255 91.402033) (xy 92.4255 91.070943) (xy 92.425499 91.07094) (xy 92.417553 91.041284) (xy 92.412818 91.023611) + (xy 92.384577 90.918215) (xy 92.354901 90.866816) (xy 92.30552 90.781284) (xy 92.193716 90.66948) + (xy 92.193715 90.669479) (xy 92.189385 90.665149) (xy 92.189374 90.665139) (xy 90.826268 89.302033) + (xy 99.559357 89.302033) (xy 99.57989 89.54984) (xy 99.579892 89.549852) (xy 99.640936 89.790909) + (xy 99.740826 90.018634) (xy 99.876833 90.22681) (xy 99.876836 90.226813) (xy 100.045256 90.409766) + (xy 100.241491 90.562502) (xy 100.305509 90.597147) (xy 100.431147 90.665139) (xy 100.46019 90.680856) + (xy 100.695386 90.761599) (xy 100.940665 90.802528) (xy 101.189335 90.802528) (xy 101.434614 90.761599) + (xy 101.66981 90.680856) (xy 101.888509 90.562502) (xy 102.084744 90.409766) (xy 102.253164 90.226813) + (xy 102.389173 90.018635) (xy 102.489063 89.790909) (xy 102.550108 89.549849) (xy 102.555145 89.489059) + (xy 102.570643 89.302033) (xy 102.570643 89.302022) (xy 102.550109 89.054215) (xy 102.550108 89.054211) + (xy 102.550108 89.054207) (xy 102.542531 89.024288) (xy 102.488992 88.812867) (xy 102.491616 88.743046) + (xy 102.521514 88.694747) (xy 103.379445 87.836819) (xy 103.440768 87.803334) (xy 103.467126 87.8005) + (xy 107.624903 87.8005) (xy 107.691942 87.820185) (xy 107.712584 87.836819) (xy 109.488181 89.612416) + (xy 109.521666 89.673739) (xy 109.5245 89.700097) (xy 109.5245 94.962603) (xy 109.504815 95.029642) + (xy 109.452011 95.075397) (xy 109.439504 95.080309) (xy 109.416302 95.087997) (xy 109.416299 95.087998) + (xy 109.271959 95.177029) (xy 109.271955 95.177032) (xy 109.152032 95.296955) (xy 109.152029 95.296959) + (xy 109.063001 95.441294) (xy 109.062996 95.441305) (xy 109.009651 95.60229) (xy 108.9995 95.701647) + (xy 108.9995 96.248337) (xy 108.999501 96.248355) (xy 109.00965 96.347707) (xy 109.009651 96.34771) + (xy 109.062996 96.508694) (xy 109.063001 96.508705) (xy 109.152029 96.65304) (xy 109.152032 96.653044) + (xy 109.271955 96.772967) (xy 109.271959 96.77297) (xy 109.416294 96.861998) (xy 109.416297 96.861999) + (xy 109.416303 96.862003) (xy 109.577292 96.915349) (xy 109.676655 96.9255) (xy 110.523344 96.925499) + (xy 110.523352 96.925498) (xy 110.523355 96.925498) (xy 110.57776 96.91994) (xy 110.622708 96.915349) + (xy 110.783697 96.862003) (xy 110.928044 96.772968) (xy 111.047968 96.653044) (xy 111.137003 96.508697) + (xy 111.190349 96.347708) (xy 111.2005 96.248345) (xy 111.200499 95.701656) (xy 111.200045 95.697216) + (xy 111.190349 95.602292) (xy 111.190348 95.602289) (xy 111.170136 95.541294) (xy 111.137003 95.441303) + (xy 111.136999 95.441297) (xy 111.136998 95.441294) (xy 111.04797 95.296959) (xy 111.047967 95.296955) + (xy 110.928043 95.177031) (xy 110.784402 95.088431) (xy 110.737678 95.036483) (xy 110.7255 94.982893) + (xy 110.7255 89.963639) (xy 112.7395 89.963639) (xy 112.746029 90.004864) (xy 112.763156 90.113001) + (xy 112.766598 90.134729) (xy 112.820127 90.299473) (xy 112.898768 90.453816) (xy 113.000586 90.593956) + (xy 113.123072 90.716442) (xy 113.263212 90.81826) (xy 113.417555 90.896901) (xy 113.582299 90.95043) + (xy 113.753389 90.977528) (xy 113.75339 90.977528) (xy 113.92661 90.977528) (xy 113.926611 90.977528) + (xy 114.097701 90.95043) (xy 114.262445 90.896901) (xy 114.416788 90.81826) (xy 114.556928 90.716442) + (xy 114.679414 90.593956) (xy 114.781232 90.453816) (xy 114.859873 90.299473) (xy 114.913402 90.134729) + (xy 114.933968 90.004878) (xy 117.3895 90.004878) (xy 117.407495 90.141554) (xy 117.422874 90.258368) + (xy 117.481521 90.477243) (xy 117.48905 90.50534) (xy 117.489053 90.50535) (xy 117.586894 90.741559) + (xy 117.586899 90.74157) (xy 117.714734 90.962985) (xy 117.714745 90.963001) (xy 117.870388 91.165839) + (xy 117.870394 91.165846) (xy 118.051181 91.346633) (xy 118.051188 91.346639) (xy 118.123379 91.402033) + (xy 118.254035 91.502289) (xy 118.254042 91.502293) (xy 118.475457 91.630128) (xy 118.475462 91.63013) + (xy 118.475465 91.630132) (xy 118.711687 91.727978) (xy 118.95866 91.794154) (xy 119.212157 91.827528) + (xy 119.212164 91.827528) (xy 119.467836 91.827528) (xy 119.467843 91.827528) (xy 119.72134 91.794154) + (xy 119.968313 91.727978) (xy 120.204535 91.630132) (xy 120.425965 91.502289) (xy 120.628813 91.346638) + (xy 120.80961 91.165841) (xy 120.965261 90.962993) (xy 121.093104 90.741563) (xy 121.19095 90.505341) + (xy 121.257126 90.258368) (xy 121.2905 90.004871) (xy 121.2905 89.963639) (xy 123.7395 89.963639) + (xy 123.746029 90.004864) (xy 123.763156 90.113001) (xy 123.766598 90.134729) (xy 123.820127 90.299473) + (xy 123.898768 90.453816) (xy 124.000586 90.593956) (xy 124.123072 90.716442) (xy 124.263212 90.81826) + (xy 124.417555 90.896901) (xy 124.582299 90.95043) (xy 124.753389 90.977528) (xy 124.75339 90.977528) + (xy 124.92661 90.977528) (xy 124.926611 90.977528) (xy 125.097701 90.95043) (xy 125.262445 90.896901) + (xy 125.416788 90.81826) (xy 125.556928 90.716442) (xy 125.679414 90.593956) (xy 125.781232 90.453816) + (xy 125.859873 90.299473) (xy 125.913402 90.134729) (xy 125.9405 89.963639) (xy 125.9405 89.790417) + (xy 125.913402 89.619327) (xy 125.859873 89.454583) (xy 125.781232 89.30024) (xy 125.679414 89.1601) + (xy 125.556928 89.037614) (xy 125.416788 88.935796) (xy 125.262445 88.857155) (xy 125.097701 88.803626) + (xy 125.097699 88.803625) (xy 125.097698 88.803625) (xy 124.966271 88.782809) (xy 124.926611 88.776528) + (xy 124.753389 88.776528) (xy 124.713728 88.782809) (xy 124.582302 88.803625) (xy 124.417552 88.857156) + (xy 124.263211 88.935796) (xy 124.183256 88.993887) (xy 124.123072 89.037614) (xy 124.12307 89.037616) + (xy 124.123069 89.037616) (xy 124.000588 89.160097) (xy 124.000588 89.160098) (xy 124.000586 89.1601) + (xy 123.968645 89.204063) (xy 123.898768 89.300239) (xy 123.820128 89.45458) (xy 123.766597 89.61933) + (xy 123.7395 89.790417) (xy 123.7395 89.963639) (xy 121.2905 89.963639) (xy 121.2905 89.749185) + (xy 121.257126 89.495688) (xy 121.19095 89.248715) (xy 121.093104 89.012493) (xy 121.093102 89.01249) + (xy 121.0931 89.012485) (xy 120.965265 88.79107) (xy 120.965261 88.791063) (xy 120.920452 88.732666) + (xy 120.809611 88.588216) (xy 120.809605 88.588209) (xy 120.628818 88.407422) (xy 120.628811 88.407416) + (xy 120.425973 88.251773) (xy 120.425971 88.251771) (xy 120.425965 88.251767) (xy 120.42596 88.251764) + (xy 120.425957 88.251762) (xy 120.204542 88.123927) (xy 120.204531 88.123922) (xy 119.968322 88.026081) + (xy 119.968315 88.026079) (xy 119.968313 88.026078) (xy 119.72134 87.959902) (xy 119.665007 87.952485) + (xy 119.46785 87.926528) (xy 119.467843 87.926528) (xy 119.212157 87.926528) (xy 119.212149 87.926528) + (xy 118.986826 87.956193) (xy 118.95866 87.959902) (xy 118.908453 87.973355) (xy 118.711687 88.026078) + (xy 118.711677 88.026081) (xy 118.475468 88.123922) (xy 118.475457 88.123927) (xy 118.254042 88.251762) + (xy 118.254026 88.251773) (xy 118.051188 88.407416) (xy 118.051181 88.407422) (xy 117.870394 88.588209) + (xy 117.870388 88.588216) (xy 117.714745 88.791054) (xy 117.714734 88.79107) (xy 117.586899 89.012485) + (xy 117.586894 89.012496) (xy 117.489053 89.248705) (xy 117.48905 89.248715) (xy 117.425251 89.486819) + (xy 117.422874 89.495689) (xy 117.3895 89.749177) (xy 117.3895 90.004878) (xy 114.933968 90.004878) + (xy 114.9405 89.963639) (xy 114.9405 89.790417) (xy 114.913402 89.619327) (xy 114.859873 89.454583) + (xy 114.781232 89.30024) (xy 114.679414 89.1601) (xy 114.556928 89.037614) (xy 114.416788 88.935796) + (xy 114.262445 88.857155) (xy 114.097701 88.803626) (xy 114.097699 88.803625) (xy 114.097698 88.803625) + (xy 113.966271 88.782809) (xy 113.926611 88.776528) (xy 113.753389 88.776528) (xy 113.713728 88.782809) + (xy 113.582302 88.803625) (xy 113.417552 88.857156) (xy 113.263211 88.935796) (xy 113.183256 88.993887) + (xy 113.123072 89.037614) (xy 113.12307 89.037616) (xy 113.123069 89.037616) (xy 113.000588 89.160097) + (xy 113.000588 89.160098) (xy 113.000586 89.1601) (xy 112.968645 89.204063) (xy 112.898768 89.300239) + (xy 112.820128 89.45458) (xy 112.766597 89.61933) (xy 112.7395 89.790417) (xy 112.7395 89.963639) + (xy 110.7255 89.963639) (xy 110.7255 89.489059) (xy 110.725501 89.489046) (xy 110.725501 89.320945) + (xy 110.725501 89.320943) (xy 110.684577 89.168215) (xy 110.634676 89.081784) (xy 110.60552 89.031284) + (xy 110.493716 88.91948) (xy 110.493715 88.919479) (xy 110.489385 88.915149) (xy 110.489374 88.915139) + (xy 108.41259 86.838355) (xy 108.412588 86.838352) (xy 108.293717 86.719481) (xy 108.293716 86.71948) + (xy 108.206904 86.66936) (xy 108.206904 86.669359) (xy 108.2069 86.669358) (xy 108.156785 86.640423) + (xy 108.004057 86.599499) (xy 107.845943 86.599499) (xy 107.838347 86.599499) (xy 107.838331 86.5995) + (xy 103.253698 86.5995) (xy 103.253682 86.599499) (xy 103.246086 86.599499) (xy 103.087971 86.599499) + (xy 103.011607 86.619961) (xy 102.935242 86.640423) (xy 102.935237 86.640426) (xy 102.798318 86.719475) + (xy 102.79831 86.719481) (xy 101.668548 87.849243) (xy 101.607225 87.882728) (xy 101.540604 87.878843) + (xy 101.434616 87.842457) (xy 101.189335 87.801528) (xy 100.940665 87.801528) (xy 100.695383 87.842457) + (xy 100.460197 87.923197) (xy 100.460188 87.9232) (xy 100.241493 88.041552) (xy 100.045257 88.194289) + (xy 99.876833 88.377245) (xy 99.740826 88.585421) (xy 99.640936 88.813146) (xy 99.579892 89.054203) + (xy 99.57989 89.054215) (xy 99.559357 89.302022) (xy 99.559357 89.302033) (xy 90.826268 89.302033) + (xy 90.01259 88.488355) (xy 90.012588 88.488352) (xy 89.893717 88.369481) (xy 89.893716 88.36948) + (xy 89.806904 88.31936) (xy 89.806904 88.319359) (xy 89.8069 88.319358) (xy 89.756785 88.290423) + (xy 89.604057 88.249499) (xy 89.445943 88.249499) (xy 89.438347 88.249499) (xy 89.438331 88.2495) + (xy 85.155085 88.2495) (xy 84.996971 88.2495) (xy 84.844243 88.290423) (xy 84.844242 88.290423) + (xy 84.84424 88.290424) (xy 84.844237 88.290425) (xy 84.794124 88.319359) (xy 84.794123 88.31936) + (xy 84.759191 88.339528) (xy 84.707313 88.369479) (xy 84.70731 88.369481) (xy 84.595506 88.481286) + (xy 83.443548 89.633243) (xy 83.382225 89.666728) (xy 83.315604 89.662843) (xy 83.209616 89.626457) + (xy 82.964335 89.585528) (xy 82.715665 89.585528) (xy 82.470383 89.626457) (xy 82.235197 89.707197) + (xy 82.235188 89.7072) (xy 82.016493 89.825552) (xy 81.820257 89.978289) (xy 81.651833 90.161245) + (xy 81.515826 90.369421) (xy 81.415936 90.597146) (xy 81.354892 90.838203) (xy 81.35489 90.838215) + (xy 81.334357 91.086022) (xy 81.334357 91.086033) (xy 81.35489 91.33384) (xy 81.354892 91.333852) + (xy 81.415936 91.574909) (xy 81.515826 91.802634) (xy 81.651833 92.01081) (xy 81.651836 92.010813) + (xy 81.820256 92.193766) (xy 82.016491 92.346502) (xy 82.23519 92.464856) (xy 82.282975 92.48126) + (xy 82.3615 92.508219) (xy 82.418515 92.548605) (xy 82.444646 92.613404) (xy 82.431594 92.682044) + (xy 82.383506 92.732732) (xy 82.321237 92.7495) (xy 79.368041 92.7495) (xy 79.301002 92.729815) + (xy 79.255247 92.677011) (xy 79.254485 92.67531) (xy 79.164173 92.469421) (xy 79.028166 92.261245) + (xy 78.989581 92.219331) (xy 78.859744 92.07829) (xy 78.663509 91.925554) (xy 78.663507 91.925553) + (xy 78.663506 91.925552) (xy 78.505482 91.840034) (xy 78.455892 91.790814) (xy 78.4405 91.730979) + (xy 78.4405 91.710096) (xy 78.460185 91.643057) (xy 78.476814 91.62242) (xy 84.0222 86.077033) (xy 112.834357 86.077033) + (xy 112.85489 86.32484) (xy 112.854892 86.324852) (xy 112.915936 86.565909) (xy 113.015826 86.793634) + (xy 113.151833 87.00181) (xy 113.151836 87.001813) (xy 113.320256 87.184766) (xy 113.516491 87.337502) + (xy 113.516493 87.337503) (xy 113.681216 87.426647) (xy 113.73519 87.455856) (xy 113.970386 87.536599) + (xy 114.215665 87.577528) (xy 114.464335 87.577528) (xy 114.709614 87.536599) (xy 114.94481 87.455856) + (xy 115.163509 87.337502) (xy 115.359744 87.184766) (xy 115.397084 87.144203) (xy 115.469522 87.065517) + (xy 115.529409 87.029526) (xy 115.560751 87.0255) (xy 123.119249 87.0255) (xy 123.186288 87.045185) + (xy 123.210478 87.065517) (xy 123.303261 87.166305) (xy 123.320256 87.184766) (xy 123.516491 87.337502) + (xy 123.516493 87.337503) (xy 123.681216 87.426647) (xy 123.73519 87.455856) (xy 123.970386 87.536599) + (xy 124.215665 87.577528) (xy 124.464335 87.577528) (xy 124.709614 87.536599) (xy 124.94481 87.455856) + (xy 125.163509 87.337502) (xy 125.359744 87.184766) (xy 125.528164 87.001813) (xy 125.664173 86.793635) + (xy 125.764063 86.565909) (xy 125.825108 86.324849) (xy 125.828809 86.280187) (xy 125.845643 86.077033) + (xy 125.845643 86.077022) (xy 125.825109 85.829215) (xy 125.825107 85.829203) (xy 125.764063 85.588146) + (xy 125.664173 85.360421) (xy 125.528166 85.152245) (xy 125.490381 85.1112) (xy 125.359744 84.96929) + (xy 125.163509 84.816554) (xy 125.163507 84.816553) (xy 125.163506 84.816552) (xy 124.944811 84.6982) + (xy 124.944802 84.698197) (xy 124.709616 84.617457) (xy 124.464335 84.576528) (xy 124.215665 84.576528) + (xy 123.970383 84.617457) (xy 123.735197 84.698197) (xy 123.735188 84.6982) (xy 123.516493 84.816552) + (xy 123.320257 84.969289) (xy 123.151833 85.152245) (xy 123.015826 85.360421) (xy 122.915937 85.588146) + (xy 122.906264 85.626344) (xy 122.881155 85.7255) (xy 122.879777 85.73094) (xy 122.844237 85.791096) + (xy 122.781817 85.822488) (xy 122.759571 85.8245) (xy 115.920429 85.8245) (xy 115.85339 85.804815) + (xy 115.807635 85.752011) (xy 115.800223 85.73094) (xy 115.798846 85.725501) (xy 115.764063 85.588147) + (xy 115.664173 85.360421) (xy 115.63159 85.310549) (xy 115.528166 85.152245) (xy 115.490381 85.1112) + (xy 115.359744 84.96929) (xy 115.163509 84.816554) (xy 115.163507 84.816553) (xy 115.163506 84.816552) + (xy 114.944811 84.6982) (xy 114.944802 84.698197) (xy 114.709616 84.617457) (xy 114.464335 84.576528) + (xy 114.215665 84.576528) (xy 113.970383 84.617457) (xy 113.735197 84.698197) (xy 113.735188 84.6982) + (xy 113.516493 84.816552) (xy 113.320257 84.969289) (xy 113.151833 85.152245) (xy 113.015826 85.360421) + (xy 112.915936 85.588146) (xy 112.854892 85.829203) (xy 112.85489 85.829215) (xy 112.834357 86.077022) + (xy 112.834357 86.077033) (xy 84.0222 86.077033) (xy 86.383506 83.715727) (xy 86.383511 83.715724) + (xy 86.393714 83.70552) (xy 86.393716 83.70552) (xy 86.50552 83.593716) (xy 86.577247 83.46948) + (xy 86.584577 83.456785) (xy 86.625501 83.304057) (xy 86.625501 83.145943) (xy 86.625501 83.138348) + (xy 86.6255 83.13833) (xy 86.6255 78.625097) (xy 86.645185 78.558058) (xy 86.661819 78.537416) (xy 87.178403 78.020832) + (xy 87.239726 77.987347) (xy 87.309418 77.992331) (xy 87.365351 78.034203) (xy 87.389768 78.099667) + (xy 87.376569 78.164807) (xy 87.320129 78.275577) (xy 87.320127 78.275582) (xy 87.320127 78.275583) + (xy 87.318947 78.279215) (xy 87.266597 78.44033) (xy 87.245468 78.573735) (xy 87.2395 78.611417) + (xy 87.2395 78.784639) (xy 87.266598 78.955729) (xy 87.320127 79.120473) (xy 87.398768 79.274816) + (xy 87.500586 79.414956) (xy 87.623072 79.537442) (xy 87.763212 79.63926) (xy 87.917555 79.717901) + (xy 88.082299 79.77143) (xy 88.253389 79.798528) (xy 88.25339 79.798528) (xy 88.42661 79.798528) + (xy 88.426611 79.798528) (xy 88.597701 79.77143) (xy 88.762445 79.717901) (xy 88.916788 79.63926) + (xy 89.056928 79.537442) (xy 89.179414 79.414956) (xy 89.281232 79.274816) (xy 89.359873 79.120473) + (xy 89.413402 78.955729) (xy 89.4405 78.784639) (xy 89.4405 78.611417) (xy 89.413402 78.440327) + (xy 89.359873 78.275583) (xy 89.281232 78.12124) (xy 89.179414 77.9811) (xy 89.056928 77.858614) + (xy 88.916788 77.756796) (xy 88.78079 77.687502) (xy 88.762447 77.678156) (xy 88.762446 77.678155) + (xy 88.762445 77.678155) (xy 88.597701 77.624626) (xy 88.597699 77.624625) (xy 88.597698 77.624625) + (xy 88.45638 77.602243) (xy 88.426611 77.597528) (xy 88.253389 77.597528) (xy 88.22362 77.602243) + (xy 88.082302 77.624625) (xy 87.917549 77.678157) (xy 87.806779 77.734596) (xy 87.738109 77.747492) + (xy 87.673369 77.721215) (xy 87.633113 77.664108) (xy 87.630121 77.594302) (xy 87.662801 77.536433) + (xy 88.32052 76.878716) (xy 88.399577 76.741784) (xy 88.440501 76.589057) (xy 88.440501 76.430942) + (xy 88.440501 76.423347) (xy 88.4405 76.423329) (xy 88.4405 76.353076) (xy 88.460185 76.286037) + (xy 88.505481 76.244021) (xy 88.663509 76.158502) (xy 88.859744 76.005766) (xy 89.028164 75.822813) + (xy 89.164173 75.614635) (xy 89.264063 75.386909) (xy 89.325108 75.145849) (xy 89.331078 75.073801) + (xy 89.345643 74.898033) (xy 89.345643 74.898022) (xy 89.325109 74.650215) (xy 89.325107 74.650203) + (xy 89.264063 74.409146) (xy 89.164173 74.181421) (xy 89.028166 73.973245) (xy 89.006557 73.949772) + (xy 88.859744 73.79029) (xy 88.663509 73.637554) (xy 88.663507 73.637553) (xy 88.663506 73.637552) + (xy 88.444811 73.5192) (xy 88.444802 73.519197) (xy 88.209616 73.438457) (xy 87.964335 73.397528) + (xy 87.715665 73.397528) (xy 87.470383 73.438457) (xy 87.235197 73.519197) (xy 87.235188 73.5192) + (xy 87.016493 73.637552) (xy 86.820257 73.790289) (xy 86.651833 73.973245) (xy 86.515826 74.181421) + (xy 86.415936 74.409146) (xy 86.354892 74.650203) (xy 86.35489 74.650215) (xy 86.343661 74.78574) + (xy 86.318508 74.850925) (xy 86.262106 74.892163) (xy 86.220085 74.8995) (xy 79.459915 74.8995) + (xy 79.392876 74.879815) (xy 79.347121 74.827011) (xy 79.336339 74.78574) (xy 79.325109 74.650215) + (xy 79.325107 74.650203) (xy 79.264063 74.409146) (xy 79.164173 74.181421) (xy 79.028166 73.973245) + (xy 79.006557 73.949772) (xy 78.859744 73.79029) (xy 78.663509 73.637554) (xy 78.663507 73.637553) + (xy 78.663506 73.637552) (xy 78.505482 73.552034) (xy 78.455892 73.502814) (xy 78.4405 73.442979) + (xy 78.4405 73.335096) (xy 78.460185 73.268057) (xy 78.476814 73.24742) (xy 78.926201 72.798033) + (xy 81.334357 72.798033) (xy 81.35489 73.04584) (xy 81.354892 73.045852) (xy 81.415936 73.286909) + (xy 81.515826 73.514634) (xy 81.651833 73.72281) (xy 81.651836 73.722813) (xy 81.820256 73.905766) + (xy 82.016491 74.058502) (xy 82.23519 74.176856) (xy 82.470386 74.257599) (xy 82.715665 74.298528) + (xy 82.964335 74.298528) (xy 83.209614 74.257599) (xy 83.44481 74.176856) (xy 83.663509 74.058502) + (xy 83.859744 73.905766) (xy 84.028164 73.722813) (xy 84.164173 73.514635) (xy 84.264063 73.286909) + (xy 84.325108 73.045849) (xy 84.32544 73.041841) (xy 84.345643 72.798033) (xy 84.345643 72.798022) + (xy 84.325109 72.550215) (xy 84.325108 72.550211) (xy 84.325108 72.550207) (xy 84.320056 72.530259) + (xy 84.263992 72.308867) (xy 84.266616 72.239046) (xy 84.296514 72.190747) (xy 85.250444 71.236819) + (xy 85.311767 71.203334) (xy 85.338125 71.2005) (xy 89.649903 71.2005) (xy 89.716942 71.220185) + (xy 89.737584 71.236819) (xy 91.263181 72.762416) (xy 91.296666 72.823739) (xy 91.2995 72.850097) + (xy 91.2995 76.720887) (xy 91.279815 76.787926) (xy 91.227011 76.833681) (xy 91.217807 76.837295) + (xy 91.216297 76.837999) (xy 91.071956 76.927031) (xy 90.952032 77.046955) (xy 90.952029 77.046959) + (xy 90.863001 77.191294) (xy 90.862996 77.191305) (xy 90.809651 77.35229) (xy 90.7995 77.451647) + (xy 90.7995 77.998337) (xy 90.799501 77.998355) (xy 90.80965 78.097707) (xy 90.809651 78.09771) + (xy 90.862996 78.258694) (xy 90.863001 78.258705) (xy 90.952029 78.40304) (xy 90.952032 78.403044) + (xy 91.071955 78.522967) (xy 91.071959 78.52297) (xy 91.216294 78.611998) (xy 91.216297 78.611999) + (xy 91.216303 78.612003) (xy 91.377292 78.665349) (xy 91.476655 78.6755) (xy 92.323344 78.675499) + (xy 92.323352 78.675498) (xy 92.323355 78.675498) (xy 92.405292 78.667128) (xy 92.422708 78.665349) + (xy 92.583697 78.612003) (xy 92.728044 78.522968) (xy 92.847968 78.403044) (xy 92.937003 78.258697) + (xy 92.990349 78.097708) (xy 93.0005 77.998345) (xy 93.000499 77.451656) (xy 92.990349 77.352292) + (xy 92.937003 77.191303) (xy 92.936999 77.191297) (xy 92.936998 77.191294) (xy 92.84797 77.046959) + (xy 92.847967 77.046955) (xy 92.801651 77.000639) (xy 94.4645 77.000639) (xy 94.491598 77.171729) + (xy 94.545127 77.336473) (xy 94.623768 77.490816) (xy 94.725586 77.630956) (xy 94.848072 77.753442) + (xy 94.988212 77.85526) (xy 95.142555 77.933901) (xy 95.307299 77.98743) (xy 95.478389 78.014528) + (xy 95.47839 78.014528) (xy 95.65161 78.014528) (xy 95.651611 78.014528) (xy 95.822701 77.98743) + (xy 95.987445 77.933901) (xy 96.141788 77.85526) (xy 96.281928 77.753442) (xy 96.404414 77.630956) + (xy 96.506232 77.490816) (xy 96.584873 77.336473) (xy 96.638402 77.171729) (xy 96.658968 77.041878) + (xy 99.1145 77.041878) (xy 99.135535 77.201644) (xy 99.147874 77.295368) (xy 99.212466 77.53643) + (xy 99.21405 77.54234) (xy 99.214053 77.54235) (xy 99.311894 77.778559) (xy 99.311899 77.77857) + (xy 99.438793 77.998355) (xy 99.439739 77.999993) (xy 99.465989 78.034203) (xy 99.595388 78.202839) + (xy 99.595394 78.202846) (xy 99.776181 78.383633) (xy 99.776188 78.383639) (xy 99.850065 78.440327) + (xy 99.979035 78.539289) (xy 99.979042 78.539293) (xy 100.200457 78.667128) (xy 100.200462 78.66713) + (xy 100.200465 78.667132) (xy 100.436687 78.764978) (xy 100.68366 78.831154) (xy 100.937157 78.864528) + (xy 100.937164 78.864528) (xy 101.192836 78.864528) (xy 101.192843 78.864528) (xy 101.44634 78.831154) + (xy 101.693313 78.764978) (xy 101.929535 78.667132) (xy 102.150965 78.539289) (xy 102.353813 78.383638) + (xy 102.53461 78.202841) (xy 102.690261 77.999993) (xy 102.818104 77.778563) (xy 102.91595 77.542341) + (xy 102.982126 77.295368) (xy 103.0155 77.041871) (xy 103.0155 77.000639) (xy 105.4645 77.000639) + (xy 105.491598 77.171729) (xy 105.545127 77.336473) (xy 105.623768 77.490816) (xy 105.725586 77.630956) + (xy 105.848072 77.753442) (xy 105.988212 77.85526) (xy 106.142555 77.933901) (xy 106.307299 77.98743) + (xy 106.478389 78.014528) (xy 106.47839 78.014528) (xy 106.65161 78.014528) (xy 106.651611 78.014528) + (xy 106.822701 77.98743) (xy 106.987445 77.933901) (xy 107.141788 77.85526) (xy 107.281928 77.753442) + (xy 107.404414 77.630956) (xy 107.506232 77.490816) (xy 107.584873 77.336473) (xy 107.638402 77.171729) + (xy 107.6655 77.000639) (xy 107.6655 76.827417) (xy 107.638402 76.656327) (xy 107.584873 76.491583) + (xy 107.506232 76.33724) (xy 107.404414 76.1971) (xy 107.281928 76.074614) (xy 107.141788 75.972796) + (xy 106.987445 75.894155) (xy 106.822701 75.840626) (xy 106.822699 75.840625) (xy 106.822698 75.840625) + (xy 106.691271 75.819809) (xy 106.651611 75.813528) (xy 106.478389 75.813528) (xy 106.438728 75.819809) + (xy 106.307302 75.840625) (xy 106.142552 75.894156) (xy 105.988211 75.972796) (xy 105.908256 76.030887) + (xy 105.848072 76.074614) (xy 105.84807 76.074616) (xy 105.848069 76.074616) (xy 105.725588 76.197097) + (xy 105.725588 76.197098) (xy 105.725586 76.1971) (xy 105.712398 76.215252) (xy 105.623768 76.337239) + (xy 105.545128 76.49158) (xy 105.491597 76.65633) (xy 105.469324 76.796959) (xy 105.4645 76.827417) + (xy 105.4645 77.000639) (xy 103.0155 77.000639) (xy 103.0155 76.786185) (xy 102.982126 76.532688) + (xy 102.91595 76.285715) (xy 102.91228 76.276856) (xy 102.847385 76.120185) (xy 102.818104 76.049493) + (xy 102.818102 76.04949) (xy 102.8181 76.049485) (xy 102.690265 75.82807) (xy 102.690261 75.828063) + (xy 102.53461 75.625215) (xy 102.534605 75.625209) (xy 102.353818 75.444422) (xy 102.353811 75.444416) + (xy 102.150973 75.288773) (xy 102.150971 75.288771) (xy 102.150965 75.288767) (xy 102.15096 75.288764) + (xy 102.150957 75.288762) (xy 101.929542 75.160927) (xy 101.929531 75.160922) (xy 101.693322 75.063081) + (xy 101.693315 75.063079) (xy 101.693313 75.063078) (xy 101.44634 74.996902) (xy 101.390007 74.989485) + (xy 101.19285 74.963528) (xy 101.192843 74.963528) (xy 100.937157 74.963528) (xy 100.937149 74.963528) + (xy 100.711826 74.993193) (xy 100.68366 74.996902) (xy 100.556617 75.030943) (xy 100.436687 75.063078) + (xy 100.436677 75.063081) (xy 100.200468 75.160922) (xy 100.200457 75.160927) (xy 99.979042 75.288762) + (xy 99.979026 75.288773) (xy 99.776188 75.444416) (xy 99.776181 75.444422) (xy 99.595394 75.625209) + (xy 99.595388 75.625216) (xy 99.439745 75.828054) (xy 99.439734 75.82807) (xy 99.311899 76.049485) + (xy 99.311894 76.049496) (xy 99.214053 76.285705) (xy 99.21405 76.285715) (xy 99.161217 76.482893) + (xy 99.147874 76.532689) (xy 99.1145 76.786177) (xy 99.1145 77.041878) (xy 96.658968 77.041878) + (xy 96.6655 77.000639) (xy 96.6655 76.827417) (xy 96.638402 76.656327) (xy 96.584873 76.491583) + (xy 96.506232 76.33724) (xy 96.404414 76.1971) (xy 96.281928 76.074614) (xy 96.141788 75.972796) + (xy 95.987445 75.894155) (xy 95.822701 75.840626) (xy 95.822699 75.840625) (xy 95.822698 75.840625) + (xy 95.691271 75.819809) (xy 95.651611 75.813528) (xy 95.478389 75.813528) (xy 95.438728 75.819809) + (xy 95.307302 75.840625) (xy 95.142552 75.894156) (xy 94.988211 75.972796) (xy 94.908256 76.030887) + (xy 94.848072 76.074614) (xy 94.84807 76.074616) (xy 94.848069 76.074616) (xy 94.725588 76.197097) + (xy 94.725588 76.197098) (xy 94.725586 76.1971) (xy 94.712398 76.215252) (xy 94.623768 76.337239) + (xy 94.545128 76.49158) (xy 94.491597 76.65633) (xy 94.469324 76.796959) (xy 94.4645 76.827417) + (xy 94.4645 77.000639) (xy 92.801651 77.000639) (xy 92.728043 76.927031) (xy 92.583702 76.837999) + (xy 92.577155 76.834947) (xy 92.577904 76.833338) (xy 92.528047 76.798816) (xy 92.501227 76.734299) + (xy 92.5005 76.720887) (xy 92.5005 73.114033) (xy 94.559357 73.114033) (xy 94.57989 73.36184) (xy 94.579892 73.361852) + (xy 94.640936 73.602909) (xy 94.740826 73.830634) (xy 94.876833 74.03881) (xy 94.909245 74.074019) + (xy 95.045256 74.221766) (xy 95.241491 74.374502) (xy 95.305509 74.409147) (xy 95.43881 74.481286) + (xy 95.46019 74.492856) (xy 95.695386 74.573599) (xy 95.940665 74.614528) (xy 96.189335 74.614528) + (xy 96.434614 74.573599) (xy 96.66981 74.492856) (xy 96.888509 74.374502) (xy 97.084744 74.221766) + (xy 97.182553 74.115517) (xy 97.242441 74.079526) (xy 97.273783 74.0755) (xy 104.856217 74.0755) + (xy 104.923256 74.095185) (xy 104.947447 74.115517) (xy 105.045256 74.221766) (xy 105.241491 74.374502) + (xy 105.305509 74.409147) (xy 105.43881 74.481286) (xy 105.46019 74.492856) (xy 105.695386 74.573599) + (xy 105.940665 74.614528) (xy 106.189335 74.614528) (xy 106.434614 74.573599) (xy 106.66981 74.492856) + (xy 106.888509 74.374502) (xy 107.084744 74.221766) (xy 107.253164 74.038813) (xy 107.389173 73.830635) + (xy 107.489063 73.602909) (xy 107.550108 73.361849) (xy 107.552892 73.328257) (xy 107.570643 73.114033) + (xy 107.570643 73.114022) (xy 107.550109 72.866215) (xy 107.550107 72.866203) (xy 107.489063 72.625146) + (xy 107.389173 72.397421) (xy 107.253166 72.189245) (xy 107.191047 72.121766) (xy 107.084744 72.00629) + (xy 106.888509 71.853554) (xy 106.888507 71.853553) (xy 106.888506 71.853552) (xy 106.669811 71.7352) + (xy 106.669802 71.735197) (xy 106.434616 71.654457) (xy 106.189335 71.613528) (xy 105.940665 71.613528) + (xy 105.695383 71.654457) (xy 105.460197 71.735197) (xy 105.460188 71.7352) (xy 105.241493 71.853552) + (xy 105.045257 72.006289) (xy 104.876833 72.189245) (xy 104.740826 72.397421) (xy 104.640937 72.625146) + (xy 104.640937 72.625147) (xy 104.603521 72.772901) (xy 104.601485 72.78094) (xy 104.565945 72.841096) + (xy 104.503525 72.872488) (xy 104.481279 72.8745) (xy 97.648721 72.8745) (xy 97.581682 72.854815) + (xy 97.535927 72.802011) (xy 97.528515 72.78094) (xy 97.489063 72.625147) (xy 97.389173 72.397421) + (xy 97.253166 72.189245) (xy 97.191047 72.121766) (xy 97.084744 72.00629) (xy 96.888509 71.853554) + (xy 96.888507 71.853553) (xy 96.888506 71.853552) (xy 96.669811 71.7352) (xy 96.669802 71.735197) + (xy 96.434616 71.654457) (xy 96.189335 71.613528) (xy 95.940665 71.613528) (xy 95.695383 71.654457) + (xy 95.460197 71.735197) (xy 95.460188 71.7352) (xy 95.241493 71.853552) (xy 95.045257 72.006289) + (xy 94.876833 72.189245) (xy 94.740826 72.397421) (xy 94.640936 72.625146) (xy 94.579892 72.866203) + (xy 94.57989 72.866215) (xy 94.559357 73.114022) (xy 94.559357 73.114033) (xy 92.5005 73.114033) + (xy 92.5005 72.470945) (xy 92.5005 72.470943) (xy 92.459577 72.318216) (xy 92.454341 72.309147) + (xy 92.380524 72.18129) (xy 92.380521 72.181286) (xy 92.38052 72.181284) (xy 92.268716 72.06948) + (xy 92.268715 72.069479) (xy 92.264385 72.065149) (xy 92.264374 72.065139) (xy 91.213268 71.014033) + (xy 99.559357 71.014033) (xy 99.57989 71.26184) (xy 99.579892 71.261852) (xy 99.640936 71.502909) + (xy 99.740826 71.730634) (xy 99.876833 71.93881) (xy 99.876836 71.938813) (xy 100.045256 72.121766) + (xy 100.241491 72.274502) (xy 100.46019 72.392856) (xy 100.695386 72.473599) (xy 100.940665 72.514528) + (xy 101.189335 72.514528) (xy 101.434614 72.473599) (xy 101.66981 72.392856) (xy 101.888509 72.274502) + (xy 102.084744 72.121766) (xy 102.253164 71.938813) (xy 102.389173 71.730635) (xy 102.489063 71.502909) + (xy 102.550108 71.261849) (xy 102.552182 71.236819) (xy 102.570643 71.014033) (xy 102.570643 71.014022) + (xy 102.550109 70.766215) (xy 102.550108 70.766211) (xy 102.550108 70.766207) (xy 102.541738 70.733156) + (xy 102.488992 70.524867) (xy 102.491616 70.455046) (xy 102.521514 70.406747) (xy 103.441445 69.486819) + (xy 103.502768 69.453334) (xy 103.529126 69.4505) (xy 107.399903 69.4505) (xy 107.466942 69.470185) + (xy 107.487584 69.486819) (xy 109.563181 71.562416) (xy 109.596666 71.623739) (xy 109.5995 71.650097) + (xy 109.5995 76.482893) (xy 109.579815 76.549932) (xy 109.540598 76.588431) (xy 109.396956 76.677031) + (xy 109.277032 76.796955) (xy 109.277029 76.796959) (xy 109.188001 76.941294) (xy 109.187996 76.941305) + (xy 109.134651 77.10229) (xy 109.1245 77.201647) (xy 109.1245 77.748337) (xy 109.124501 77.748355) + (xy 109.13465 77.847707) (xy 109.134651 77.84771) (xy 109.187996 78.008694) (xy 109.188001 78.008705) + (xy 109.277029 78.15304) (xy 109.277032 78.153044) (xy 109.396955 78.272967) (xy 109.396959 78.27297) + (xy 109.541294 78.361998) (xy 109.541297 78.361999) (xy 109.541303 78.362003) (xy 109.702292 78.415349) + (xy 109.801655 78.4255) (xy 110.648344 78.425499) (xy 110.648352 78.425498) (xy 110.648355 78.425498) + (xy 110.70276 78.41994) (xy 110.747708 78.415349) (xy 110.908697 78.362003) (xy 111.053044 78.272968) + (xy 111.172968 78.153044) (xy 111.262003 78.008697) (xy 111.315349 77.847708) (xy 111.3255 77.748345) + (xy 111.325499 77.201656) (xy 111.324441 77.191303) (xy 111.315349 77.102292) (xy 111.315348 77.102289) + (xy 111.314196 77.098812) (xy 111.262003 76.941303) (xy 111.261999 76.941297) (xy 111.261998 76.941294) + (xy 111.17297 76.796959) (xy 111.172967 76.796955) (xy 111.053044 76.677032) (xy 111.05304 76.677029) + (xy 110.9087 76.587998) (xy 110.908697 76.587997) (xy 110.885496 76.580309) (xy 110.828051 76.540536) + (xy 110.801228 76.47602) (xy 110.8005 76.462603) (xy 110.8005 71.675639) (xy 112.7395 71.675639) + (xy 112.745729 71.714966) (xy 112.755171 71.774585) (xy 112.766598 71.846729) (xy 112.820127 72.011473) + (xy 112.898768 72.165816) (xy 113.000586 72.305956) (xy 113.123072 72.428442) (xy 113.263212 72.53026) + (xy 113.417555 72.608901) (xy 113.582299 72.66243) (xy 113.753389 72.689528) (xy 113.75339 72.689528) + (xy 113.92661 72.689528) (xy 113.926611 72.689528) (xy 114.097701 72.66243) (xy 114.262445 72.608901) + (xy 114.416788 72.53026) (xy 114.556928 72.428442) (xy 114.679414 72.305956) (xy 114.781232 72.165816) + (xy 114.859873 72.011473) (xy 114.913402 71.846729) (xy 114.933968 71.716878) (xy 117.3895 71.716878) + (xy 117.407495 71.853554) (xy 117.422874 71.970368) (xy 117.481521 72.189243) (xy 117.48905 72.21734) + (xy 117.489053 72.21735) (xy 117.586894 72.453559) (xy 117.586899 72.45357) (xy 117.714734 72.674985) + (xy 117.714745 72.675001) (xy 117.870388 72.877839) (xy 117.870394 72.877846) (xy 118.051181 73.058633) + (xy 118.051188 73.058639) (xy 118.125194 73.115426) (xy 118.254035 73.214289) (xy 118.254042 73.214293) + (xy 118.475457 73.342128) (xy 118.475462 73.34213) (xy 118.475465 73.342132) (xy 118.533824 73.366305) + (xy 118.654508 73.416294) (xy 118.711687 73.439978) (xy 118.95866 73.506154) (xy 119.212157 73.539528) + (xy 119.212164 73.539528) (xy 119.467836 73.539528) (xy 119.467843 73.539528) (xy 119.72134 73.506154) + (xy 119.968313 73.439978) (xy 120.204535 73.342132) (xy 120.425965 73.214289) (xy 120.628813 73.058638) + (xy 120.80961 72.877841) (xy 120.965261 72.674993) (xy 121.093104 72.453563) (xy 121.19095 72.217341) + (xy 121.257126 71.970368) (xy 121.2905 71.716871) (xy 121.2905 71.675639) (xy 123.7395 71.675639) + (xy 123.745729 71.714966) (xy 123.755171 71.774585) (xy 123.766598 71.846729) (xy 123.820127 72.011473) + (xy 123.898768 72.165816) (xy 124.000586 72.305956) (xy 124.123072 72.428442) (xy 124.263212 72.53026) + (xy 124.417555 72.608901) (xy 124.582299 72.66243) (xy 124.753389 72.689528) (xy 124.75339 72.689528) + (xy 124.92661 72.689528) (xy 124.926611 72.689528) (xy 125.097701 72.66243) (xy 125.262445 72.608901) + (xy 125.416788 72.53026) (xy 125.556928 72.428442) (xy 125.679414 72.305956) (xy 125.781232 72.165816) + (xy 125.859873 72.011473) (xy 125.913402 71.846729) (xy 125.9405 71.675639) (xy 125.9405 71.502417) + (xy 125.913402 71.331327) (xy 125.859873 71.166583) (xy 125.781232 71.01224) (xy 125.679414 70.8721) + (xy 125.556928 70.749614) (xy 125.416788 70.647796) (xy 125.262445 70.569155) (xy 125.097701 70.515626) + (xy 125.097699 70.515625) (xy 125.097698 70.515625) (xy 124.966271 70.494809) (xy 124.926611 70.488528) + (xy 124.753389 70.488528) (xy 124.713728 70.494809) (xy 124.582302 70.515625) (xy 124.417552 70.569156) + (xy 124.263211 70.647796) (xy 124.183256 70.705887) (xy 124.123072 70.749614) (xy 124.12307 70.749616) + (xy 124.123069 70.749616) (xy 124.000588 70.872097) (xy 124.000588 70.872098) (xy 124.000586 70.8721) + (xy 123.988682 70.888485) (xy 123.898768 71.012239) (xy 123.820128 71.16658) (xy 123.766597 71.33133) + (xy 123.745442 71.464902) (xy 123.7395 71.502417) (xy 123.7395 71.675639) (xy 121.2905 71.675639) + (xy 121.2905 71.461185) (xy 121.257126 71.207688) (xy 121.19095 70.960715) (xy 121.093104 70.724493) + (xy 121.093102 70.72449) (xy 121.0931 70.724485) (xy 120.965265 70.50307) (xy 120.965261 70.503063) + (xy 120.892281 70.407954) (xy 120.809611 70.300216) (xy 120.809605 70.300209) (xy 120.628818 70.119422) + (xy 120.628811 70.119416) (xy 120.425973 69.963773) (xy 120.425971 69.963771) (xy 120.425965 69.963767) + (xy 120.42596 69.963764) (xy 120.425957 69.963762) (xy 120.204542 69.835927) (xy 120.204531 69.835922) + (xy 119.968322 69.738081) (xy 119.968315 69.738079) (xy 119.968313 69.738078) (xy 119.72134 69.671902) + (xy 119.665007 69.664485) (xy 119.46785 69.638528) (xy 119.467843 69.638528) (xy 119.212157 69.638528) + (xy 119.212149 69.638528) (xy 118.994777 69.667147) (xy 118.95866 69.671902) (xy 118.768071 69.72297) + (xy 118.711687 69.738078) (xy 118.711677 69.738081) (xy 118.475468 69.835922) (xy 118.475457 69.835927) + (xy 118.254042 69.963762) (xy 118.254026 69.963773) (xy 118.051188 70.119416) (xy 118.051181 70.119422) + (xy 117.870394 70.300209) (xy 117.870388 70.300216) (xy 117.714745 70.503054) (xy 117.714734 70.50307) + (xy 117.586899 70.724485) (xy 117.586894 70.724496) (xy 117.489053 70.960705) (xy 117.48905 70.960715) + (xy 117.422874 71.207688) (xy 117.421229 71.220185) (xy 117.3895 71.461177) (xy 117.3895 71.716878) + (xy 114.933968 71.716878) (xy 114.9405 71.675639) (xy 114.9405 71.502417) (xy 114.913402 71.331327) + (xy 114.859873 71.166583) (xy 114.781232 71.01224) (xy 114.679414 70.8721) (xy 114.556928 70.749614) + (xy 114.416788 70.647796) (xy 114.262445 70.569155) (xy 114.097701 70.515626) (xy 114.097699 70.515625) + (xy 114.097698 70.515625) (xy 113.966271 70.494809) (xy 113.926611 70.488528) (xy 113.753389 70.488528) + (xy 113.713728 70.494809) (xy 113.582302 70.515625) (xy 113.417552 70.569156) (xy 113.263211 70.647796) + (xy 113.183256 70.705887) (xy 113.123072 70.749614) (xy 113.12307 70.749616) (xy 113.123069 70.749616) + (xy 113.000588 70.872097) (xy 113.000588 70.872098) (xy 113.000586 70.8721) (xy 112.988682 70.888485) + (xy 112.898768 71.012239) (xy 112.820128 71.16658) (xy 112.766597 71.33133) (xy 112.745442 71.464902) + (xy 112.7395 71.502417) (xy 112.7395 71.675639) (xy 110.8005 71.675639) (xy 110.8005 71.439059) + (xy 110.800501 71.439046) (xy 110.800501 71.270945) (xy 110.800501 71.270943) (xy 110.759577 71.118215) + (xy 110.730639 71.068095) (xy 110.68052 70.981284) (xy 110.568716 70.86948) (xy 110.568715 70.869479) + (xy 110.564385 70.865149) (xy 110.564374 70.865139) (xy 108.18759 68.488355) (xy 108.187588 68.488352) + (xy 108.068717 68.369481) (xy 108.068716 68.36948) (xy 107.971469 68.313335) (xy 107.971468 68.313334) + (xy 107.931783 68.290422) (xy 107.875881 68.275443) (xy 107.779057 68.249499) (xy 107.620943 68.249499) + (xy 107.613347 68.249499) (xy 107.613331 68.2495) (xy 103.315698 68.2495) (xy 103.315682 68.249499) + (xy 103.308086 68.249499) (xy 103.149971 68.249499) (xy 103.089898 68.265596) (xy 102.997242 68.290423) + (xy 102.997237 68.290426) (xy 102.860318 68.369475) (xy 102.86031 68.369481) (xy 101.668548 69.561243) + (xy 101.607225 69.594728) (xy 101.540604 69.590843) (xy 101.434616 69.554457) (xy 101.189335 69.513528) + (xy 100.940665 69.513528) (xy 100.695383 69.554457) (xy 100.460197 69.635197) (xy 100.460188 69.6352) + (xy 100.241493 69.753552) (xy 100.045257 69.906289) (xy 99.876833 70.089245) (xy 99.740826 70.297421) + (xy 99.640936 70.525146) (xy 99.579892 70.766203) (xy 99.57989 70.766215) (xy 99.559357 71.014022) + (xy 99.559357 71.014033) (xy 91.213268 71.014033) (xy 90.43759 70.238355) (xy 90.437588 70.238352) + (xy 90.318717 70.119481) (xy 90.318709 70.119475) (xy 90.210872 70.057216) (xy 90.181788 70.040424) + (xy 90.181787 70.040423) (xy 90.120693 70.024053) (xy 90.029057 69.999499) (xy 89.870943 69.999499) + (xy 89.863347 69.999499) (xy 89.863331 69.9995) (xy 85.124697 69.9995) (xy 85.124681 69.999499) + (xy 85.117085 69.999499) (xy 84.958971 69.999499) (xy 84.882607 70.019961) (xy 84.80624 70.040423) + (xy 84.806239 70.040424) (xy 84.777156 70.057216) (xy 84.669315 70.119477) (xy 84.66931 70.119481) + (xy 84.557506 70.231286) (xy 83.443548 71.345243) (xy 83.382225 71.378728) (xy 83.315604 71.374843) + (xy 83.209616 71.338457) (xy 82.964335 71.297528) (xy 82.715665 71.297528) (xy 82.470383 71.338457) + (xy 82.235197 71.419197) (xy 82.235188 71.4192) (xy 82.016493 71.537552) (xy 81.820257 71.690289) + (xy 81.651833 71.873245) (xy 81.515826 72.081421) (xy 81.415936 72.309146) (xy 81.354892 72.550203) + (xy 81.35489 72.550215) (xy 81.334357 72.798022) (xy 81.334357 72.798033) (xy 78.926201 72.798033) + (xy 83.9352 67.789033) (xy 112.834357 67.789033) (xy 112.85489 68.03684) (xy 112.854892 68.036852) + (xy 112.915936 68.277909) (xy 113.015826 68.505634) (xy 113.151833 68.71381) (xy 113.154078 68.716249) + (xy 113.320256 68.896766) (xy 113.516491 69.049502) (xy 113.73519 69.167856) (xy 113.970386 69.248599) + (xy 114.215665 69.289528) (xy 114.464335 69.289528) (xy 114.709614 69.248599) (xy 114.94481 69.167856) + (xy 115.163509 69.049502) (xy 115.359744 68.896766) (xy 115.526595 68.715516) (xy 115.586482 68.679527) + (xy 115.617825 68.6755) (xy 123.062175 68.6755) (xy 123.129214 68.695185) (xy 123.153403 68.715515) + (xy 123.320256 68.896766) (xy 123.516491 69.049502) (xy 123.73519 69.167856) (xy 123.970386 69.248599) + (xy 124.215665 69.289528) (xy 124.464335 69.289528) (xy 124.709614 69.248599) (xy 124.94481 69.167856) + (xy 125.163509 69.049502) (xy 125.359744 68.896766) (xy 125.528164 68.713813) (xy 125.664173 68.505635) + (xy 125.764063 68.277909) (xy 125.825108 68.036849) (xy 125.826489 68.020185) (xy 125.845643 67.789033) + (xy 125.845643 67.789022) (xy 125.825109 67.541215) (xy 125.825107 67.541203) (xy 125.764063 67.300146) + (xy 125.664173 67.072421) (xy 125.528166 66.864245) (xy 125.452507 66.782058) (xy 125.359744 66.68129) + (xy 125.163509 66.528554) (xy 125.163507 66.528553) (xy 125.163506 66.528552) (xy 124.944811 66.4102) + (xy 124.944802 66.410197) (xy 124.709616 66.329457) (xy 124.464335 66.288528) (xy 124.215665 66.288528) + (xy 123.970383 66.329457) (xy 123.735197 66.410197) (xy 123.735188 66.4102) (xy 123.516493 66.528552) + (xy 123.320257 66.681289) (xy 123.151833 66.864245) (xy 123.015826 67.072421) (xy 122.915937 67.300145) + (xy 122.895477 67.380941) (xy 122.859937 67.441096) (xy 122.797516 67.472488) (xy 122.775271 67.4745) + (xy 115.904729 67.4745) (xy 115.83769 67.454815) (xy 115.791935 67.402011) (xy 115.784523 67.380941) + (xy 115.776557 67.349486) (xy 115.764063 67.300147) (xy 115.664173 67.072421) (xy 115.64259 67.039385) + (xy 115.528166 66.864245) (xy 115.452507 66.782058) (xy 115.359744 66.68129) (xy 115.163509 66.528554) + (xy 115.163507 66.528553) (xy 115.163506 66.528552) (xy 114.944811 66.4102) (xy 114.944802 66.410197) + (xy 114.709616 66.329457) (xy 114.464335 66.288528) (xy 114.215665 66.288528) (xy 113.970383 66.329457) + (xy 113.735197 66.410197) (xy 113.735188 66.4102) (xy 113.516493 66.528552) (xy 113.320257 66.681289) + (xy 113.151833 66.864245) (xy 113.015826 67.072421) (xy 112.915936 67.300146) (xy 112.854892 67.541203) + (xy 112.85489 67.541215) (xy 112.834357 67.789022) (xy 112.834357 67.789033) (xy 83.9352 67.789033) + (xy 86.558506 65.165727) (xy 86.558511 65.165724) (xy 86.568714 65.15552) (xy 86.568716 65.15552) + (xy 86.68052 65.043716) (xy 86.759577 64.906784) (xy 86.797771 64.764243) (xy 86.8005 64.754058) + (xy 86.8005 64.595943) (xy 86.8005 60.275096) (xy 86.820185 60.208057) (xy 86.836814 60.18742) (xy 87.063432 59.960802) + (xy 87.124754 59.927318) (xy 87.194446 59.932302) (xy 87.250379 59.974174) (xy 87.274796 60.039638) + (xy 87.269044 60.086799) (xy 87.266597 60.094328) (xy 87.266597 60.094329) (xy 87.2395 60.265417) + (xy 87.2395 60.438638) (xy 87.266546 60.609404) (xy 87.266598 60.609729) (xy 87.320127 60.774473) + (xy 87.398768 60.928816) (xy 87.500586 61.068956) (xy 87.623072 61.191442) (xy 87.763212 61.29326) + (xy 87.917555 61.371901) (xy 88.082299 61.42543) (xy 88.253389 61.452528) (xy 88.25339 61.452528) + (xy 88.42661 61.452528) (xy 88.426611 61.452528) (xy 88.597701 61.42543) (xy 88.762445 61.371901) + (xy 88.916788 61.29326) (xy 89.056928 61.191442) (xy 89.179414 61.068956) (xy 89.281232 60.928816) + (xy 89.359873 60.774473) (xy 89.413402 60.609729) (xy 89.4405 60.438639) (xy 89.4405 60.265417) + (xy 89.413402 60.094327) (xy 89.359873 59.929583) (xy 89.281232 59.77524) (xy 89.179414 59.6351) + (xy 89.056928 59.512614) (xy 88.916788 59.410796) (xy 88.878534 59.391305) (xy 88.762447 59.332156) + (xy 88.762446 59.332155) (xy 88.762445 59.332155) (xy 88.597701 59.278626) (xy 88.597699 59.278625) + (xy 88.597698 59.278625) (xy 88.466271 59.257809) (xy 88.426611 59.251528) (xy 88.253389 59.251528) + (xy 88.235572 59.25435) (xy 88.082301 59.278625) (xy 88.082299 59.278625) (xy 88.074767 59.281073) + (xy 88.004926 59.283065) (xy 87.945095 59.246981) (xy 87.91427 59.184278) (xy 87.922238 59.114865) + (xy 87.948771 59.075462) (xy 88.198506 58.825727) (xy 88.198511 58.825724) (xy 88.208714 58.81552) + (xy 88.208716 58.81552) (xy 88.32052 58.703716) (xy 88.328588 58.689741) (xy 88.381877 58.597443) + (xy 88.381878 58.59744) (xy 88.399576 58.566787) (xy 88.414098 58.51259) (xy 88.4405 58.414058) + (xy 88.4405 58.255943) (xy 88.4405 58.007076) (xy 88.460185 57.940037) (xy 88.505481 57.898021) + (xy 88.663509 57.812502) (xy 88.859744 57.659766) (xy 89.028164 57.476813) (xy 89.164173 57.268635) + (xy 89.264063 57.040909) (xy 89.325108 56.799849) (xy 89.325733 56.79231) (xy 89.345643 56.552033) + (xy 89.345643 56.552022) (xy 89.325109 56.304215) (xy 89.325107 56.304203) (xy 89.264063 56.063146) + (xy 89.164173 55.835421) (xy 89.028166 55.627245) (xy 88.992825 55.588855) (xy 88.859744 55.44429) + (xy 88.663509 55.291554) (xy 88.663507 55.291553) (xy 88.663506 55.291552) (xy 88.444811 55.1732) + (xy 88.444802 55.173197) (xy 88.209616 55.092457) (xy 87.964335 55.051528) (xy 87.715665 55.051528) + (xy 87.470383 55.092457) (xy 87.235197 55.173197) (xy 87.235188 55.1732) (xy 87.016493 55.291552) + (xy 86.913152 55.371986) (xy 86.820256 55.44429) (xy 86.73954 55.531971) (xy 86.651833 55.627245) + (xy 86.515826 55.835421) (xy 86.415936 56.063146) (xy 86.360784 56.28094) (xy 86.325244 56.341096) + (xy 86.262824 56.372488) (xy 86.240578 56.3745) (xy 79.439422 56.3745) (xy 79.372383 56.354815) + (xy 79.326628 56.302011) (xy 79.319216 56.28094) (xy 79.264063 56.063146) (xy 79.164172 55.835419) + (xy 79.127851 55.779826) (xy 79.090678 55.722928) (xy 79.070491 55.65604) (xy 79.08967 55.588855) + (xy 79.106801 55.567432) (xy 82.537416 52.136819) (xy 82.598739 52.103334) (xy 82.625097 52.1005) + (xy 84.04293 52.1005) (xy 84.109969 52.120185) (xy 84.155724 52.172989) (xy 84.165668 52.242147) + (xy 84.136643 52.305703) (xy 84.130611 52.312181) (xy 83.443548 52.999243) (xy 83.382225 53.032728) + (xy 83.315604 53.028843) (xy 83.209616 52.992457) (xy 82.964335 52.951528) (xy 82.715665 52.951528) + (xy 82.470383 52.992457) (xy 82.235197 53.073197) (xy 82.235188 53.0732) (xy 82.016493 53.191552) + (xy 81.820257 53.344289) (xy 81.651833 53.527245) (xy 81.515826 53.735421) (xy 81.415936 53.963146) + (xy 81.354892 54.204203) (xy 81.35489 54.204215) (xy 81.334357 54.452022) (xy 81.334357 54.452033) + (xy 81.35489 54.69984) (xy 81.354892 54.699852) (xy 81.415936 54.940909) (xy 81.515826 55.168634) + (xy 81.651833 55.37681) (xy 81.662301 55.388181) (xy 81.820256 55.559766) (xy 82.016491 55.712502) + (xy 82.23519 55.830856) (xy 82.470386 55.911599) (xy 82.715665 55.952528) (xy 82.964335 55.952528) + (xy 83.209614 55.911599) (xy 83.44481 55.830856) (xy 83.663509 55.712502) (xy 83.859744 55.559766) + (xy 84.028164 55.376813) (xy 84.164173 55.168635) (xy 84.264063 54.940909) (xy 84.325108 54.699849) + (xy 84.325142 54.699444) (xy 84.345643 54.452033) (xy 84.345643 54.452022) (xy 84.325109 54.204215) + (xy 84.325108 54.204211) (xy 84.325108 54.204207) (xy 84.322104 54.192346) (xy 84.269977 53.986502) + (xy 84.264063 53.963147) (xy 84.264062 53.963146) (xy 84.263992 53.962867) (xy 84.266616 53.893046) + (xy 84.296514 53.844747) (xy 84.929444 53.211819) (xy 84.990767 53.178334) (xy 85.017125 53.1755) + (xy 89.924903 53.1755) (xy 89.991942 53.195185) (xy 90.012584 53.211819) (xy 91.338181 54.537416) + (xy 91.371666 54.598739) (xy 91.3745 54.625097) (xy 91.3745 58.854319) (xy 91.354815 58.921358) + (xy 91.302011 58.967113) (xy 91.289507 58.972023) (xy 91.274502 58.976996) (xy 91.241305 58.987996) + (xy 91.241294 58.988001) (xy 91.096959 59.077029) (xy 91.096955 59.077032) (xy 90.977032 59.196955) + (xy 90.977029 59.196959) (xy 90.888001 59.341294) (xy 90.887996 59.341305) (xy 90.834651 59.50229) + (xy 90.8245 59.601647) (xy 90.8245 60.148337) (xy 90.824501 60.148355) (xy 90.83465 60.247707) (xy 90.834651 60.24771) + (xy 90.887996 60.408694) (xy 90.888001 60.408705) (xy 90.977029 60.55304) (xy 90.977032 60.553044) + (xy 91.096955 60.672967) (xy 91.096959 60.67297) (xy 91.241294 60.761998) (xy 91.241297 60.761999) + (xy 91.241303 60.762003) (xy 91.402292 60.815349) (xy 91.501655 60.8255) (xy 92.348344 60.825499) + (xy 92.348352 60.825498) (xy 92.348355 60.825498) (xy 92.40276 60.81994) (xy 92.447708 60.815349) + (xy 92.608697 60.762003) (xy 92.753044 60.672968) (xy 92.872968 60.553044) (xy 92.962003 60.408697) + (xy 93.015349 60.247708) (xy 93.0255 60.148345) (xy 93.025499 59.601656) (xy 93.021985 59.56726) + (xy 93.015349 59.502292) (xy 93.015348 59.502289) (xy 93.011461 59.490559) (xy 92.962003 59.341303) + (xy 92.961999 59.341297) (xy 92.961998 59.341294) (xy 92.87297 59.196959) (xy 92.872967 59.196955) + (xy 92.753043 59.077031) (xy 92.634402 59.003851) (xy 92.587678 58.951902) (xy 92.5755 58.898313) + (xy 92.5755 58.712639) (xy 94.4645 58.712639) (xy 94.491598 58.883729) (xy 94.543151 59.042393) + (xy 94.545128 59.048475) (xy 94.557977 59.073693) (xy 94.623768 59.202816) (xy 94.725586 59.342956) + (xy 94.848072 59.465442) (xy 94.988212 59.56726) (xy 95.142555 59.645901) (xy 95.307299 59.69943) + (xy 95.478389 59.726528) (xy 95.47839 59.726528) (xy 95.65161 59.726528) (xy 95.651611 59.726528) + (xy 95.822701 59.69943) (xy 95.987445 59.645901) (xy 96.141788 59.56726) (xy 96.281928 59.465442) + (xy 96.404414 59.342956) (xy 96.506232 59.202816) (xy 96.584873 59.048473) (xy 96.638402 58.883729) + (xy 96.658968 58.753878) (xy 99.1145 58.753878) (xy 99.13655 58.921358) (xy 99.147874 59.007368) + (xy 99.21405 59.25434) (xy 99.214053 59.25435) (xy 99.311894 59.490559) (xy 99.311899 59.49057) + (xy 99.439734 59.711985) (xy 99.439745 59.712001) (xy 99.595388 59.914839) (xy 99.595394 59.914846) + (xy 99.776179 60.095631) (xy 99.776188 60.095639) (xy 99.844872 60.148342) (xy 99.979035 60.251289) + (xy 99.979042 60.251293) (xy 100.200457 60.379128) (xy 100.200462 60.37913) (xy 100.200465 60.379132) + (xy 100.344126 60.438638) (xy 100.433118 60.4755) (xy 100.436687 60.476978) (xy 100.68366 60.543154) + (xy 100.937157 60.576528) (xy 100.937164 60.576528) (xy 101.192836 60.576528) (xy 101.192843 60.576528) + (xy 101.44634 60.543154) (xy 101.693313 60.476978) (xy 101.929535 60.379132) (xy 102.150965 60.251289) + (xy 102.353813 60.095638) (xy 102.353816 60.095635) (xy 102.353821 60.095631) (xy 102.534605 59.914846) + (xy 102.53461 59.914841) (xy 102.690261 59.711993) (xy 102.818104 59.490563) (xy 102.91595 59.254341) + (xy 102.982126 59.007368) (xy 103.0155 58.753871) (xy 103.0155 58.498185) (xy 102.982126 58.244688) + (xy 102.91595 57.997715) (xy 102.891359 57.938348) (xy 102.862566 57.868834) (xy 102.818104 57.761493) + (xy 102.818102 57.76149) (xy 102.8181 57.761485) (xy 102.690265 57.54007) (xy 102.690261 57.540063) + (xy 102.596852 57.41833) (xy 102.534611 57.337216) (xy 102.534605 57.337209) (xy 102.353818 57.156422) + (xy 102.353811 57.156416) (xy 102.150973 57.000773) (xy 102.150971 57.000771) (xy 102.150965 57.000767) + (xy 102.15096 57.000764) (xy 102.150957 57.000762) (xy 101.929542 56.872927) (xy 101.929531 56.872922) + (xy 101.693322 56.775081) (xy 101.693315 56.775079) (xy 101.693313 56.775078) (xy 101.44634 56.708902) + (xy 101.374926 56.6995) (xy 101.19285 56.675528) (xy 101.192843 56.675528) (xy 100.937157 56.675528) + (xy 100.937149 56.675528) (xy 100.711826 56.705193) (xy 100.68366 56.708902) (xy 100.436687 56.775078) + (xy 100.436677 56.775081) (xy 100.200468 56.872922) (xy 100.200457 56.872927) (xy 99.979042 57.000762) + (xy 99.979026 57.000773) (xy 99.776188 57.156416) (xy 99.776181 57.156422) (xy 99.595394 57.337209) + (xy 99.595388 57.337216) (xy 99.439745 57.540054) (xy 99.439734 57.54007) (xy 99.311899 57.761485) + (xy 99.311894 57.761496) (xy 99.214053 57.997705) (xy 99.21405 57.997715) (xy 99.151068 58.23277) + (xy 99.147874 58.244689) (xy 99.1145 58.498177) (xy 99.1145 58.753878) (xy 96.658968 58.753878) + (xy 96.6655 58.712639) (xy 96.6655 58.539417) (xy 96.638402 58.368327) (xy 96.584873 58.203583) + (xy 96.506232 58.04924) (xy 96.404414 57.9091) (xy 96.281928 57.786614) (xy 96.141788 57.684796) + (xy 95.987445 57.606155) (xy 95.822701 57.552626) (xy 95.822699 57.552625) (xy 95.822698 57.552625) + (xy 95.691271 57.531809) (xy 95.651611 57.525528) (xy 95.478389 57.525528) (xy 95.438728 57.531809) + (xy 95.307302 57.552625) (xy 95.142552 57.606156) (xy 94.988211 57.684796) (xy 94.915232 57.737819) + (xy 94.848072 57.786614) (xy 94.84807 57.786616) (xy 94.848069 57.786616) (xy 94.725588 57.909097) + (xy 94.725588 57.909098) (xy 94.725586 57.9091) (xy 94.681859 57.969284) (xy 94.623768 58.049239) + (xy 94.545128 58.20358) (xy 94.545127 58.203582) (xy 94.545127 58.203583) (xy 94.535633 58.232802) + (xy 94.491597 58.36833) (xy 94.468837 58.512034) (xy 94.4645 58.539417) (xy 94.4645 58.712639) (xy 92.5755 58.712639) + (xy 92.5755 54.41406) (xy 92.575501 54.414047) (xy 92.575501 54.245944) (xy 92.57533 54.245304) + (xy 92.534577 54.093216) (xy 92.512269 54.054577) (xy 92.455524 53.95629) (xy 92.455518 53.956282) + (xy 91.66628 53.167044) (xy 90.811415 52.31218) (xy 90.777931 52.250858) (xy 90.782915 52.181166) + (xy 90.824787 52.125233) (xy 90.890251 52.100816) (xy 90.899097 52.1005) (xy 94.788331 52.1005) + (xy 94.788347 52.100501) (xy 94.795943 52.100501) (xy 94.954054 52.100501) (xy 94.954057 52.100501) + (xy 95.106785 52.059577) (xy 95.178424 52.018216) (xy 95.243716 51.98052) (xy 95.35552 51.868716) + (xy 95.35552 51.868715) (xy 95.365729 51.858507) (xy 95.365731 51.858503) (xy 95.462418 51.761818) + (xy 95.523741 51.728334) (xy 95.550098 51.7255) (xy 99.697189 51.7255) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 76.340389 55.911358) (xy 76.396323 55.953229) (xy 76.42074 56.018694) (xy 76.415727 56.057803) + (xy 76.417196 56.058175) (xy 76.354892 56.304203) (xy 76.35489 56.304215) (xy 76.334357 56.552022) + (xy 76.334357 56.552033) (xy 76.35489 56.79984) (xy 76.354892 56.799852) (xy 76.415936 57.040909) + (xy 76.515826 57.268634) (xy 76.651833 57.47681) (xy 76.651836 57.476813) (xy 76.820256 57.659766) + (xy 77.016491 57.812502) (xy 77.016493 57.812503) (xy 77.223938 57.924767) (xy 77.23519 57.930856) + (xy 77.470386 58.011599) (xy 77.715665 58.052528) (xy 77.964335 58.052528) (xy 78.209614 58.011599) + (xy 78.44481 57.930856) (xy 78.663509 57.812502) (xy 78.859744 57.659766) (xy 78.900479 57.615516) + (xy 78.960366 57.579526) (xy 78.991708 57.5755) (xy 86.688292 57.5755) (xy 86.755331 57.595185) + (xy 86.779521 57.615516) (xy 86.820256 57.659766) (xy 86.950944 57.761485) (xy 87.016494 57.812504) + (xy 87.016496 57.812505) (xy 87.023485 57.816287) (xy 87.174517 57.898021) (xy 87.224108 57.94724) + (xy 87.2395 58.007076) (xy 87.2395 58.034902) (xy 87.219815 58.101941) (xy 87.203181 58.122583) + (xy 85.719481 59.606282) (xy 85.71948 59.606284) (xy 85.679981 59.674699) (xy 85.640423 59.743215) + (xy 85.599499 59.895943) (xy 85.599499 59.895945) (xy 85.599499 60.064046) (xy 85.5995 60.064059) + (xy 85.5995 64.374902) (xy 85.579815 64.441941) (xy 85.563181 64.462583) (xy 77.359481 72.666282) + (xy 77.359479 72.666285) (xy 77.313855 72.74531) (xy 77.313854 72.745311) (xy 77.280423 72.803214) + (xy 77.280423 72.803215) (xy 77.239499 72.955943) (xy 77.239499 72.955945) (xy 77.239499 73.124046) + (xy 77.2395 73.124059) (xy 77.2395 73.442979) (xy 77.219815 73.510018) (xy 77.174518 73.552034) + (xy 77.016493 73.637552) (xy 76.820257 73.790289) (xy 76.651833 73.973245) (xy 76.515826 74.181421) + (xy 76.415936 74.409146) (xy 76.354892 74.650203) (xy 76.35489 74.650215) (xy 76.334357 74.898022) + (xy 76.334357 74.898033) (xy 76.35489 75.14584) (xy 76.354892 75.145852) (xy 76.415936 75.386909) + (xy 76.515826 75.614634) (xy 76.651833 75.82281) (xy 76.656669 75.828063) (xy 76.820256 76.005766) + (xy 77.016491 76.158502) (xy 77.054765 76.179215) (xy 77.18464 76.2495) (xy 77.23519 76.276856) + (xy 77.470386 76.357599) (xy 77.715665 76.398528) (xy 77.964335 76.398528) (xy 78.209614 76.357599) + (xy 78.44481 76.276856) (xy 78.663509 76.158502) (xy 78.704436 76.126646) (xy 78.76943 76.101004) + (xy 78.780599 76.1005) (xy 86.899401 76.1005) (xy 86.96644 76.120185) (xy 86.975564 76.126647) (xy 87.016488 76.1585) + (xy 87.016493 76.158503) (xy 87.081616 76.193746) (xy 87.131207 76.242965) (xy 87.146315 76.311182) + (xy 87.122145 76.376738) (xy 87.11028 76.390482) (xy 85.656286 77.844478) (xy 85.544481 77.956282) + (xy 85.544479 77.956284) (xy 85.526894 77.986744) (xy 85.519245 77.999993) (xy 85.478997 78.069705) + (xy 85.468372 78.088108) (xy 85.465423 78.093215) (xy 85.424499 78.245943) (xy 85.424499 78.245944) + (xy 85.424499 78.245945) (xy 85.424499 78.414046) (xy 85.4245 78.414059) (xy 85.4245 82.924902) + (xy 85.404815 82.991941) (xy 85.388181 83.012583) (xy 77.359481 91.041282) (xy 77.359479 91.041284) + (xy 77.336585 91.08094) (xy 77.323444 91.103701) (xy 77.294284 91.154207) (xy 77.28373 91.172488) + (xy 77.280423 91.178215) (xy 77.239499 91.330943) (xy 77.239499 91.330945) (xy 77.239499 91.499046) + (xy 77.2395 91.499059) (xy 77.2395 91.730979) (xy 77.219815 91.798018) (xy 77.174518 91.840034) + (xy 77.016493 91.925552) (xy 76.820257 92.078289) (xy 76.651833 92.261245) (xy 76.515826 92.469421) + (xy 76.415936 92.697146) (xy 76.354892 92.938203) (xy 76.35489 92.938215) (xy 76.334357 93.186022) + (xy 76.334357 93.186033) (xy 76.35489 93.43384) (xy 76.354892 93.433852) (xy 76.415936 93.674909) + (xy 76.515826 93.902634) (xy 76.651833 94.11081) (xy 76.656669 94.116063) (xy 76.820256 94.293766) + (xy 77.016491 94.446502) (xy 77.016493 94.446503) (xy 77.165295 94.527031) (xy 77.23519 94.564856) + (xy 77.470386 94.645599) (xy 77.715665 94.686528) (xy 77.964335 94.686528) (xy 78.209614 94.645599) + (xy 78.44481 94.564856) (xy 78.663509 94.446502) (xy 78.859744 94.293766) (xy 79.028164 94.110813) + (xy 79.056073 94.068095) (xy 79.096198 94.006679) (xy 79.149344 93.961322) (xy 79.200007 93.9505) + (xy 86.479993 93.9505) (xy 86.547032 93.970185) (xy 86.583802 94.006679) (xy 86.651833 94.11081) + (xy 86.656669 94.116063) (xy 86.820256 94.293766) (xy 86.997215 94.431498) (xy 87.038026 94.488207) + (xy 87.041701 94.55798) (xy 87.008732 94.617031) (xy 85.906286 95.719478) (xy 85.794481 95.831282) + (xy 85.794477 95.831287) (xy 85.754771 95.900062) (xy 85.715424 95.968211) (xy 85.715423 95.968212) + (xy 85.694903 96.044796) (xy 85.674499 96.120943) (xy 85.674499 96.120945) (xy 85.674499 96.289046) + (xy 85.6745 96.289059) (xy 85.6745 97.83833) (xy 85.674499 97.838348) (xy 85.674499 98.004054) (xy 85.674498 98.004054) + (xy 85.715424 98.156789) (xy 85.715425 98.15679) (xy 85.736164 98.19271) (xy 85.736165 98.192711) + (xy 85.794477 98.293712) (xy 85.794481 98.293717) (xy 85.913349 98.412585) (xy 85.913355 98.41259) + (xy 86.463584 98.962819) (xy 86.497069 99.024142) (xy 86.492085 99.093834) (xy 86.450213 99.149767) + (xy 86.384749 99.174184) (xy 86.375903 99.1745) (xy 83.052139 99.1745) (xy 82.9851 99.154815) (xy 82.939345 99.102011) + (xy 82.929401 99.032853) (xy 82.958426 98.969297) (xy 83.017204 98.931523) (xy 83.035953 98.927561) + (xy 83.22134 98.903154) (xy 83.468313 98.836978) (xy 83.704535 98.739132) (xy 83.925965 98.611289) + (xy 84.128813 98.455638) (xy 84.30961 98.274841) (xy 84.465261 98.071993) (xy 84.593104 97.850563) + (xy 84.69095 97.614341) (xy 84.757126 97.367368) (xy 84.7905 97.113871) (xy 84.7905 96.858185) (xy 84.757126 96.604688) + (xy 84.69095 96.357715) (xy 84.687068 96.348344) (xy 84.645652 96.248355) (xy 84.593104 96.121493) + (xy 84.593102 96.12149) (xy 84.5931 96.121485) (xy 84.485752 95.935554) (xy 84.465261 95.900063) + (xy 84.392719 95.805524) (xy 84.309611 95.697216) (xy 84.309605 95.697209) (xy 84.128818 95.516422) + (xy 84.128811 95.516416) (xy 83.925973 95.360773) (xy 83.925971 95.360771) (xy 83.925965 95.360767) + (xy 83.92596 95.360764) (xy 83.925957 95.360762) (xy 83.704542 95.232927) (xy 83.704531 95.232922) + (xy 83.468322 95.135081) (xy 83.468315 95.135079) (xy 83.468313 95.135078) (xy 83.22134 95.068902) + (xy 83.165007 95.061485) (xy 82.96785 95.035528) (xy 82.967843 95.035528) (xy 82.712157 95.035528) + (xy 82.712149 95.035528) (xy 82.486826 95.065193) (xy 82.45866 95.068902) (xy 82.285063 95.115417) + (xy 82.211687 95.135078) (xy 82.211677 95.135081) (xy 81.975468 95.232922) (xy 81.975457 95.232927) + (xy 81.754042 95.360762) (xy 81.754026 95.360773) (xy 81.551188 95.516416) (xy 81.551181 95.516422) + (xy 81.370394 95.697209) (xy 81.370388 95.697216) (xy 81.214745 95.900054) (xy 81.214741 95.90006) + (xy 81.214739 95.900063) (xy 81.194249 95.935552) (xy 81.086899 96.121485) (xy 81.086894 96.121496) + (xy 80.989053 96.357705) (xy 80.98905 96.357715) (xy 80.925329 96.595528) (xy 80.922874 96.604689) + (xy 80.8895 96.858177) (xy 80.8895 97.113878) (xy 80.906596 97.243725) (xy 80.922874 97.367368) + (xy 80.98905 97.61434) (xy 80.989053 97.61435) (xy 81.086894 97.850559) (xy 81.086899 97.85057) + (xy 81.214734 98.071985) (xy 81.214745 98.072001) (xy 81.370388 98.274839) (xy 81.370394 98.274846) + (xy 81.551181 98.455633) (xy 81.551188 98.455639) (xy 81.593358 98.487997) (xy 81.754035 98.611289) + (xy 81.754042 98.611293) (xy 81.975457 98.739128) (xy 81.975462 98.73913) (xy 81.975465 98.739132) + (xy 82.211687 98.836978) (xy 82.45866 98.903154) (xy 82.644047 98.927561) (xy 82.707943 98.955828) + (xy 82.746414 99.014152) (xy 82.747245 99.084017) (xy 82.710173 99.14324) (xy 82.646967 99.173019) + (xy 82.627861 99.1745) (xy 77.200098 99.1745) (xy 77.133059 99.154815) (xy 77.112417 99.138181) + (xy 75.786819 97.812583) (xy 75.753334 97.75126) (xy 75.7505 97.724902) (xy 75.7505 97.072639) (xy 76.2395 97.072639) + (xy 76.240949 97.081786) (xy 76.259043 97.196033) (xy 76.266598 97.243729) (xy 76.320127 97.408473) + (xy 76.398768 97.562816) (xy 76.500586 97.702956) (xy 76.623072 97.825442) (xy 76.763212 97.92726) + (xy 76.917555 98.005901) (xy 77.082299 98.05943) (xy 77.253389 98.086528) (xy 77.25339 98.086528) + (xy 77.42661 98.086528) (xy 77.426611 98.086528) (xy 77.597701 98.05943) (xy 77.762445 98.005901) + (xy 77.916788 97.92726) (xy 78.056928 97.825442) (xy 78.179414 97.702956) (xy 78.281232 97.562816) + (xy 78.359873 97.408473) (xy 78.413402 97.243729) (xy 78.4405 97.072639) (xy 78.4405 96.899417) + (xy 78.413402 96.728327) (xy 78.359873 96.563583) (xy 78.281232 96.40924) (xy 78.179414 96.2691) + (xy 78.056928 96.146614) (xy 77.916788 96.044796) (xy 77.762445 95.966155) (xy 77.597701 95.912626) + (xy 77.597699 95.912625) (xy 77.597698 95.912625) (xy 77.466271 95.891809) (xy 77.426611 95.885528) + (xy 77.253389 95.885528) (xy 77.213728 95.891809) (xy 77.082302 95.912625) (xy 76.917552 95.966156) + (xy 76.763211 96.044796) (xy 76.703481 96.088193) (xy 76.623072 96.146614) (xy 76.62307 96.146616) + (xy 76.623069 96.146616) (xy 76.500588 96.269097) (xy 76.500588 96.269098) (xy 76.500586 96.2691) + (xy 76.4798 96.29771) (xy 76.398768 96.409239) (xy 76.320128 96.56358) (xy 76.266597 96.72833) (xy 76.245426 96.862003) + (xy 76.2395 96.899417) (xy 76.2395 97.072639) (xy 75.7505 97.072639) (xy 75.7505 78.784639) (xy 76.2395 78.784639) + (xy 76.266598 78.955729) (xy 76.320127 79.120473) (xy 76.398768 79.274816) (xy 76.500586 79.414956) + (xy 76.623072 79.537442) (xy 76.763212 79.63926) (xy 76.917555 79.717901) (xy 77.082299 79.77143) + (xy 77.253389 79.798528) (xy 77.25339 79.798528) (xy 77.42661 79.798528) (xy 77.426611 79.798528) + (xy 77.597701 79.77143) (xy 77.762445 79.717901) (xy 77.916788 79.63926) (xy 78.056928 79.537442) + (xy 78.179414 79.414956) (xy 78.281232 79.274816) (xy 78.359873 79.120473) (xy 78.413402 78.955729) + (xy 78.433968 78.825878) (xy 80.8895 78.825878) (xy 80.906596 78.955725) (xy 80.922874 79.079368) + (xy 80.966889 79.243634) (xy 80.98905 79.32634) (xy 80.989053 79.32635) (xy 81.086894 79.562559) + (xy 81.086899 79.56257) (xy 81.214734 79.783985) (xy 81.214745 79.784001) (xy 81.370388 79.986839) + (xy 81.370394 79.986846) (xy 81.551181 80.167633) (xy 81.551188 80.167639) (xy 81.695638 80.27848) + (xy 81.754035 80.323289) (xy 81.754042 80.323293) (xy 81.975457 80.451128) (xy 81.975462 80.45113) + (xy 81.975465 80.451132) (xy 82.211687 80.548978) (xy 82.45866 80.615154) (xy 82.712157 80.648528) + (xy 82.712164 80.648528) (xy 82.967836 80.648528) (xy 82.967843 80.648528) (xy 83.22134 80.615154) + (xy 83.468313 80.548978) (xy 83.704535 80.451132) (xy 83.925965 80.323289) (xy 84.128813 80.167638) + (xy 84.30961 79.986841) (xy 84.465261 79.783993) (xy 84.593104 79.562563) (xy 84.69095 79.326341) + (xy 84.757126 79.079368) (xy 84.7905 78.825871) (xy 84.7905 78.570185) (xy 84.757126 78.316688) + (xy 84.69095 78.069715) (xy 84.593104 77.833493) (xy 84.593102 77.83349) (xy 84.5931 77.833485) + (xy 84.465265 77.61207) (xy 84.465261 77.612063) (xy 84.342176 77.451656) (xy 84.309611 77.409216) + (xy 84.309605 77.409209) (xy 84.128818 77.228422) (xy 84.128811 77.228416) (xy 83.925973 77.072773) + (xy 83.925971 77.072771) (xy 83.925965 77.072767) (xy 83.92596 77.072764) (xy 83.925957 77.072762) + (xy 83.704542 76.944927) (xy 83.704531 76.944922) (xy 83.468322 76.847081) (xy 83.468315 76.847079) + (xy 83.468313 76.847078) (xy 83.22134 76.780902) (xy 83.165007 76.773485) (xy 82.96785 76.747528) + (xy 82.967843 76.747528) (xy 82.712157 76.747528) (xy 82.712149 76.747528) (xy 82.486826 76.777193) + (xy 82.45866 76.780902) (xy 82.211687 76.847078) (xy 82.211677 76.847081) (xy 81.975468 76.944922) + (xy 81.975457 76.944927) (xy 81.754042 77.072762) (xy 81.754026 77.072773) (xy 81.551188 77.228416) + (xy 81.551181 77.228422) (xy 81.370394 77.409209) (xy 81.370388 77.409216) (xy 81.214745 77.612054) + (xy 81.214734 77.61207) (xy 81.086899 77.833485) (xy 81.086894 77.833496) (xy 80.989053 78.069705) + (xy 80.98905 78.069715) (xy 80.938411 78.258705) (xy 80.922874 78.316689) (xy 80.8895 78.570177) + (xy 80.8895 78.825878) (xy 78.433968 78.825878) (xy 78.4405 78.784639) (xy 78.4405 78.611417) (xy 78.413402 78.440327) + (xy 78.359873 78.275583) (xy 78.281232 78.12124) (xy 78.179414 77.9811) (xy 78.056928 77.858614) + (xy 77.916788 77.756796) (xy 77.78079 77.687502) (xy 77.762447 77.678156) (xy 77.762446 77.678155) + (xy 77.762445 77.678155) (xy 77.597701 77.624626) (xy 77.597699 77.624625) (xy 77.597698 77.624625) + (xy 77.45638 77.602243) (xy 77.426611 77.597528) (xy 77.253389 77.597528) (xy 77.22362 77.602243) + (xy 77.082302 77.624625) (xy 76.917552 77.678156) (xy 76.763211 77.756796) (xy 76.72619 77.783694) + (xy 76.623072 77.858614) (xy 76.62307 77.858616) (xy 76.623069 77.858616) (xy 76.500588 77.981097) + (xy 76.500588 77.981098) (xy 76.500586 77.9811) (xy 76.471719 78.020832) (xy 76.398768 78.121239) + (xy 76.320128 78.27558) (xy 76.320127 78.275582) (xy 76.320127 78.275583) (xy 76.318947 78.279215) + (xy 76.266597 78.44033) (xy 76.245468 78.573735) (xy 76.2395 78.611417) (xy 76.2395 78.784639) (xy 75.7505 78.784639) + (xy 75.7505 60.438638) (xy 76.2395 60.438638) (xy 76.266546 60.609404) (xy 76.266598 60.609729) + (xy 76.320127 60.774473) (xy 76.398768 60.928816) (xy 76.500586 61.068956) (xy 76.623072 61.191442) + (xy 76.763212 61.29326) (xy 76.917555 61.371901) (xy 77.082299 61.42543) (xy 77.253389 61.452528) + (xy 77.25339 61.452528) (xy 77.42661 61.452528) (xy 77.426611 61.452528) (xy 77.597701 61.42543) + (xy 77.762445 61.371901) (xy 77.916788 61.29326) (xy 78.056928 61.191442) (xy 78.179414 61.068956) + (xy 78.281232 60.928816) (xy 78.359873 60.774473) (xy 78.413402 60.609729) (xy 78.433968 60.479878) + (xy 80.8895 60.479878) (xy 80.9095 60.631785) (xy 80.922874 60.733368) (xy 80.975244 60.928816) + (xy 80.98905 60.98034) (xy 80.989053 60.98035) (xy 81.086894 61.216559) (xy 81.086896 61.216564) + (xy 81.214734 61.437985) (xy 81.214745 61.438001) (xy 81.370388 61.640839) (xy 81.370394 61.640846) + (xy 81.551181 61.821633) (xy 81.551187 61.821638) (xy 81.754035 61.977289) (xy 81.754042 61.977293) + (xy 81.975457 62.105128) (xy 81.975462 62.10513) (xy 81.975465 62.105132) (xy 82.033228 62.129058) + (xy 82.205704 62.2005) (xy 82.211687 62.202978) (xy 82.45866 62.269154) (xy 82.712157 62.302528) + (xy 82.712164 62.302528) (xy 82.967836 62.302528) (xy 82.967843 62.302528) (xy 83.22134 62.269154) + (xy 83.468313 62.202978) (xy 83.704535 62.105132) (xy 83.925965 61.977289) (xy 84.128813 61.821638) + (xy 84.30961 61.640841) (xy 84.465261 61.437993) (xy 84.53844 61.311243) (xy 84.593104 61.216564) + (xy 84.593105 61.216561) (xy 84.69095 60.980341) (xy 84.757126 60.733368) (xy 84.7905 60.479871) + (xy 84.7905 60.224185) (xy 84.757126 59.970688) (xy 84.69095 59.723715) (xy 84.593104 59.487493) + (xy 84.593102 59.48749) (xy 84.5931 59.487485) (xy 84.465265 59.26607) (xy 84.465261 59.266063) + (xy 84.377762 59.152032) (xy 84.309611 59.063216) (xy 84.309605 59.063209) (xy 84.128818 58.882422) + (xy 84.128811 58.882416) (xy 83.925973 58.726773) (xy 83.925971 58.726771) (xy 83.925965 58.726767) + (xy 83.92596 58.726764) (xy 83.925957 58.726762) (xy 83.704542 58.598927) (xy 83.704531 58.598922) + (xy 83.468322 58.501081) (xy 83.468315 58.501079) (xy 83.468313 58.501078) (xy 83.22134 58.434902) + (xy 83.165007 58.427485) (xy 82.96785 58.401528) (xy 82.967843 58.401528) (xy 82.712157 58.401528) + (xy 82.712149 58.401528) (xy 82.486826 58.431193) (xy 82.45866 58.434902) (xy 82.211687 58.501078) + (xy 82.211677 58.501081) (xy 81.975468 58.598922) (xy 81.975457 58.598927) (xy 81.754042 58.726762) + (xy 81.754026 58.726773) (xy 81.551188 58.882416) (xy 81.551181 58.882422) (xy 81.370394 59.063209) + (xy 81.370388 59.063216) (xy 81.214745 59.266054) (xy 81.214734 59.26607) (xy 81.086899 59.487485) + (xy 81.086894 59.487496) (xy 80.989053 59.723705) (xy 80.98905 59.723715) (xy 80.931564 59.938259) + (xy 80.922874 59.970689) (xy 80.8895 60.224177) (xy 80.8895 60.479878) (xy 78.433968 60.479878) + (xy 78.4405 60.438639) (xy 78.4405 60.265417) (xy 78.413402 60.094327) (xy 78.359873 59.929583) + (xy 78.281232 59.77524) (xy 78.179414 59.6351) (xy 78.056928 59.512614) (xy 77.916788 59.410796) + (xy 77.878534 59.391305) (xy 77.762447 59.332156) (xy 77.762446 59.332155) (xy 77.762445 59.332155) + (xy 77.597701 59.278626) (xy 77.597699 59.278625) (xy 77.597698 59.278625) (xy 77.466271 59.257809) + (xy 77.426611 59.251528) (xy 77.253389 59.251528) (xy 77.213728 59.257809) (xy 77.082302 59.278625) + (xy 77.082299 59.278626) (xy 76.975951 59.313181) (xy 76.917552 59.332156) (xy 76.763211 59.410796) + (xy 76.688003 59.465439) (xy 76.623072 59.512614) (xy 76.62307 59.512616) (xy 76.623069 59.512616) + (xy 76.500588 59.635097) (xy 76.500588 59.635098) (xy 76.500586 59.6351) (xy 76.488553 59.651662) + (xy 76.398768 59.775239) (xy 76.320128 59.92958) (xy 76.266597 60.09433) (xy 76.2395 60.265417) + (xy 76.2395 60.438638) (xy 75.7505 60.438638) (xy 75.7505 56.450097) (xy 75.770185 56.383058) (xy 75.786819 56.362416) + (xy 75.965178 56.184057) (xy 76.209377 55.939857) (xy 76.270698 55.906374) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 166.718834 76.107916) (xy 166.774767 76.149788) (xy 166.799184 76.215252) (xy 166.7995 76.224098) + (xy 166.7995 91.174902) (xy 166.779815 91.241941) (xy 166.763181 91.262583) (xy 166.06218 91.963584) + (xy 166.000857 91.997069) (xy 165.931165 91.992085) (xy 165.875232 91.950213) (xy 165.850815 91.884749) + (xy 165.850499 91.875903) (xy 165.850499 91.751662) (xy 165.850498 91.751644) (xy 165.840349 91.652292) + (xy 165.840348 91.652289) (xy 165.830449 91.622415) (xy 165.787003 91.491303) (xy 165.786999 91.491297) + (xy 165.786998 91.491294) (xy 165.69797 91.346959) (xy 165.697967 91.346955) (xy 165.578043 91.227031) + (xy 165.433702 91.137999) (xy 165.427155 91.134947) (xy 165.427904 91.133338) (xy 165.378047 91.098816) + (xy 165.351227 91.034299) (xy 165.3505 91.020887) (xy 165.3505 83.870942) (xy 165.344756 83.849509) + (xy 165.344756 83.849501) (xy 165.344755 83.849502) (xy 165.33981 83.831046) (xy 165.338324 83.8255) + (xy 165.309577 83.718216) (xy 165.283715 83.673421) (xy 165.230524 83.58129) (xy 165.230521 83.581286) + (xy 165.23052 83.581284) (xy 165.118716 83.46948) (xy 165.118715 83.469479) (xy 165.114385 83.465149) + (xy 165.114374 83.465139) (xy 163.06259 81.413355) (xy 163.062588 81.413352) (xy 162.943717 81.294481) + (xy 162.943709 81.294475) (xy 162.82602 81.226528) (xy 162.826019 81.226527) (xy 162.812499 81.218722) + (xy 162.806785 81.215423) (xy 162.654057 81.174499) (xy 162.495943 81.174499) (xy 162.488347 81.174499) + (xy 162.488331 81.1745) (xy 158.300971 81.1745) (xy 158.148242 81.215423) (xy 158.129009 81.226528) + (xy 158.129008 81.226528) (xy 158.011315 81.294477) (xy 158.01131 81.294481) (xy 157.899506 81.406286) + (xy 156.368548 82.937243) (xy 156.307225 82.970728) (xy 156.240604 82.966843) (xy 156.134616 82.930457) + (xy 155.889335 82.889528) (xy 155.640665 82.889528) (xy 155.395383 82.930457) (xy 155.160197 83.011197) + (xy 155.160188 83.0112) (xy 154.941493 83.129552) (xy 154.745257 83.282289) (xy 154.576833 83.465245) + (xy 154.440826 83.673421) (xy 154.340936 83.901146) (xy 154.279892 84.142203) (xy 154.27989 84.142215) + (xy 154.259357 84.390022) (xy 154.259357 84.390033) (xy 154.27989 84.63784) (xy 154.279892 84.637852) + (xy 154.340936 84.878909) (xy 154.440826 85.106634) (xy 154.576833 85.31481) (xy 154.576836 85.314813) + (xy 154.745256 85.497766) (xy 154.941491 85.650502) (xy 155.16019 85.768856) (xy 155.183516 85.776864) + (xy 155.202027 85.783219) (xy 155.259042 85.823604) (xy 155.285173 85.888404) (xy 155.272122 85.957044) + (xy 155.224033 86.007731) (xy 155.161764 86.0245) (xy 152.379124 86.0245) (xy 152.312085 86.004815) + (xy 152.26633 85.952011) (xy 152.256386 85.882853) (xy 152.285411 85.819297) (xy 152.291443 85.812819) + (xy 152.335407 85.768855) (xy 152.90552 85.198744) (xy 152.984577 85.061812) (xy 153.025501 84.909085) + (xy 153.025501 84.75097) (xy 153.025501 84.743375) (xy 153.0255 84.743357) (xy 153.0255 78.901452) + (xy 153.045185 78.834413) (xy 153.05735 78.81848) (xy 153.157533 78.707216) (xy 153.252179 78.543284) + (xy 153.310674 78.363256) (xy 153.33046 78.175) (xy 153.310674 77.986744) (xy 153.3029 77.962818) + (xy 153.300905 77.892977) (xy 153.336985 77.833144) (xy 153.399686 77.802316) (xy 153.420831 77.8005) + (xy 163.609996 77.8005) (xy 163.677035 77.820185) (xy 163.715535 77.859404) (xy 163.727031 77.878043) + (xy 163.846955 77.997967) (xy 163.846959 77.99797) (xy 163.991294 78.086998) (xy 163.991297 78.086999) + (xy 163.991303 78.087003) (xy 164.152292 78.140349) (xy 164.251655 78.1505) (xy 165.098344 78.150499) + (xy 165.098352 78.150498) (xy 165.098355 78.150498) (xy 165.15276 78.14494) (xy 165.197708 78.140349) + (xy 165.358697 78.087003) (xy 165.503044 77.997968) (xy 165.622968 77.878044) (xy 165.712003 77.733697) + (xy 165.765349 77.572708) (xy 165.7755 77.473345) (xy 165.775499 77.000095) (xy 165.795183 76.933057) + (xy 165.811813 76.91242) (xy 166.533506 76.190728) (xy 166.533511 76.190724) (xy 166.543714 76.18052) + (xy 166.543716 76.18052) (xy 166.587819 76.136417) (xy 166.649142 76.102932) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 139.191904 54.245304) (xy 139.213809 54.270583) (xy 139.271016 54.358147) (xy 139.271019 54.358151) + (xy 139.271021 54.358153) (xy 139.428216 54.528913) (xy 139.428219 54.528915) (xy 139.428222 54.528918) + (xy 139.611365 54.671464) (xy 139.611371 54.671468) (xy 139.611374 54.67147) (xy 139.732614 54.737082) + (xy 139.798319 54.77264) (xy 139.815497 54.781936) (xy 139.912977 54.815401) (xy 140.035015 54.857297) + (xy 140.035017 54.857297) (xy 140.035019 54.857298) (xy 140.263951 54.8955) (xy 140.263952 54.8955) + (xy 140.496046 54.8955) (xy 140.496049 54.8955) (xy 140.604677 54.877372) (xy 140.674038 54.885753) + (xy 140.712765 54.912) (xy 150.128181 64.327416) (xy 150.161666 64.388739) (xy 150.1645 64.415097) + (xy 150.1645 66.497979) (xy 150.144815 66.565018) (xy 150.099518 66.607034) (xy 149.941493 66.692552) + (xy 149.745257 66.845289) (xy 149.576833 67.028245) (xy 149.440826 67.236421) (xy 149.340936 67.464146) + (xy 149.279892 67.705203) (xy 149.27989 67.705215) (xy 149.259357 67.953022) (xy 149.259357 67.953033) + (xy 149.27989 68.20084) (xy 149.279892 68.200852) (xy 149.340936 68.441909) (xy 149.440826 68.669634) + (xy 149.576833 68.87781) (xy 149.576836 68.877813) (xy 149.745256 69.060766) (xy 149.941491 69.213502) + (xy 150.16019 69.331856) (xy 150.395386 69.412599) (xy 150.640665 69.453528) (xy 150.889335 69.453528) + (xy 151.134608 69.4126) (xy 151.134607 69.4126) (xy 151.134614 69.412599) (xy 151.240607 69.37621) + (xy 151.310402 69.373061) (xy 151.368548 69.405811) (xy 151.888181 69.925444) (xy 151.921666 69.986767) + (xy 151.9245 70.013125) (xy 151.9245 75.455538) (xy 151.904815 75.522577) (xy 151.873387 75.555854) + (xy 151.85844 75.566714) (xy 151.844127 75.577113) (xy 151.717466 75.717785) (xy 151.622821 75.881715) + (xy 151.622818 75.881722) (xy 151.5661 76.056284) (xy 151.564326 76.061744) (xy 151.54454 76.25) + (xy 151.563557 76.430942) (xy 151.564327 76.438261) (xy 151.565678 76.444617) (xy 151.564198 76.444931) + (xy 151.565972 76.507024) (xy 151.529891 76.566856) (xy 151.46719 76.597684) (xy 151.446046 76.5995) + (xy 150.325098 76.5995) (xy 150.258059 76.579815) (xy 150.237417 76.563181) (xy 147.286819 73.612583) + (xy 147.253334 73.55126) (xy 147.2505 73.524902) (xy 147.2505 71.839638) (xy 149.1645 71.839638) + (xy 149.190894 72.006289) (xy 149.191598 72.010729) (xy 149.245127 72.175473) (xy 149.323768 72.329816) + (xy 149.425586 72.469956) (xy 149.548072 72.592442) (xy 149.688212 72.69426) (xy 149.842555 72.772901) + (xy 150.007299 72.82643) (xy 150.178389 72.853528) (xy 150.17839 72.853528) (xy 150.35161 72.853528) + (xy 150.351611 72.853528) (xy 150.522701 72.82643) (xy 150.687445 72.772901) (xy 150.841788 72.69426) + (xy 150.981928 72.592442) (xy 151.104414 72.469956) (xy 151.206232 72.329816) (xy 151.284873 72.175473) + (xy 151.338402 72.010729) (xy 151.3655 71.839639) (xy 151.3655 71.666417) (xy 151.338402 71.495327) + (xy 151.284873 71.330583) (xy 151.206232 71.17624) (xy 151.104414 71.0361) (xy 150.981928 70.913614) + (xy 150.841788 70.811796) (xy 150.687445 70.733155) (xy 150.522701 70.679626) (xy 150.522699 70.679625) + (xy 150.522698 70.679625) (xy 150.391271 70.658809) (xy 150.351611 70.652528) (xy 150.178389 70.652528) + (xy 150.138728 70.658809) (xy 150.007302 70.679625) (xy 150.007299 70.679626) (xy 149.869205 70.724496) + (xy 149.842552 70.733156) (xy 149.688211 70.811796) (xy 149.614792 70.865139) (xy 149.548072 70.913614) + (xy 149.54807 70.913616) (xy 149.548069 70.913616) (xy 149.425588 71.036097) (xy 149.425588 71.036098) + (xy 149.425586 71.0361) (xy 149.40234 71.068095) (xy 149.323768 71.176239) (xy 149.245128 71.33058) + (xy 149.191597 71.49533) (xy 149.1645 71.666417) (xy 149.1645 71.839638) (xy 147.2505 71.839638) + (xy 147.2505 69.929113) (xy 147.270185 69.862074) (xy 147.322989 69.816319) (xy 147.332199 69.812701) + (xy 147.333697 69.812003) (xy 147.382968 69.781612) (xy 147.478044 69.722968) (xy 147.597968 69.603044) + (xy 147.687003 69.458697) (xy 147.740349 69.297708) (xy 147.7505 69.198345) (xy 147.750499 68.651656) + (xy 147.748286 68.629996) (xy 147.740349 68.552292) (xy 147.740348 68.552289) (xy 147.727919 68.51478) + (xy 147.687003 68.391303) (xy 147.686999 68.391297) (xy 147.686998 68.391294) (xy 147.59797 68.246959) + (xy 147.597967 68.246955) (xy 147.478044 68.127032) (xy 147.472377 68.122551) (xy 147.473584 68.121023) + (xy 147.433442 68.076387) (xy 147.422226 68.007423) (xy 147.450074 67.943343) (xy 147.483261 67.915423) + (xy 147.543716 67.88052) (xy 147.65552 67.768716) (xy 147.65552 67.768714) (xy 147.665724 67.758511) + (xy 147.665728 67.758506) (xy 148.733506 66.690728) (xy 148.733511 66.690724) (xy 148.743714 66.68052) + (xy 148.743716 66.68052) (xy 148.85552 66.568716) (xy 148.927976 66.443218) (xy 148.927977 66.443217) + (xy 148.934576 66.431787) (xy 148.934576 66.431786) (xy 148.934577 66.431785) (xy 148.9755 66.279058) + (xy 148.9755 66.120943) (xy 148.9755 63.91406) (xy 148.975501 63.914047) (xy 148.975501 63.745944) + (xy 148.975501 63.745943) (xy 148.934577 63.593216) (xy 148.934573 63.593209) (xy 148.855524 63.45629) + (xy 148.855518 63.456282) (xy 146.887265 61.488029) (xy 144.895617 59.496382) (xy 144.895616 59.49638) + (xy 144.776745 59.377509) (xy 144.776744 59.377508) (xy 144.689932 59.327388) (xy 144.689932 59.327387) + (xy 144.689928 59.327386) (xy 144.639813 59.298451) (xy 144.487085 59.257527) (xy 144.328971 59.257527) + (xy 144.321375 59.257527) (xy 144.321359 59.257528) (xy 144.021116 59.257528) (xy 143.954077 59.237843) + (xy 143.908322 59.185039) (xy 143.90756 59.183339) (xy 143.889172 59.141419) (xy 143.753166 58.933245) + (xy 143.654193 58.825732) (xy 143.584744 58.75029) (xy 143.388509 58.597554) (xy 143.388507 58.597553) + (xy 143.388506 58.597552) (xy 143.169811 58.4792) (xy 143.169802 58.479197) (xy 142.934616 58.398457) + (xy 142.689335 58.357528) (xy 142.440665 58.357528) (xy 142.195383 58.398457) (xy 141.960197 58.479197) + (xy 141.960188 58.4792) (xy 141.741493 58.597552) (xy 141.545257 58.750289) (xy 141.545256 58.75029) + (xy 141.541953 58.753878) (xy 141.376833 58.933245) (xy 141.240826 59.141421) (xy 141.140936 59.369146) + (xy 141.079892 59.610203) (xy 141.07989 59.610215) (xy 141.062388 59.821445) (xy 141.059357 59.858028) + (xy 141.064065 59.914841) (xy 141.079045 60.095631) (xy 141.064963 60.164067) (xy 141.016118 60.214026) + (xy 140.948016 60.229646) (xy 140.882281 60.205968) (xy 140.867787 60.193551) (xy 139.025159 58.350924) + (xy 138.991674 58.289601) (xy 138.992635 58.232802) (xy 138.992646 58.232762) (xy 139.050108 58.005849) + (xy 139.050783 57.997705) (xy 139.070643 57.758033) (xy 139.070643 57.758022) (xy 139.050109 57.510215) + (xy 139.050107 57.510203) (xy 138.989063 57.269146) (xy 138.889173 57.041421) (xy 138.753166 56.833245) + (xy 138.715484 56.792312) (xy 138.584744 56.65029) (xy 138.388509 56.497554) (xy 138.388507 56.497553) + (xy 138.388506 56.497552) (xy 138.169811 56.3792) (xy 138.169802 56.379197) (xy 137.934616 56.298457) + (xy 137.689335 56.257528) (xy 137.440665 56.257528) (xy 137.195383 56.298457) (xy 136.960197 56.379197) + (xy 136.960188 56.3792) (xy 136.741493 56.497552) (xy 136.545257 56.650289) (xy 136.376833 56.833245) + (xy 136.240826 57.041421) (xy 136.140936 57.269146) (xy 136.079892 57.510203) (xy 136.07989 57.510215) + (xy 136.059357 57.758022) (xy 136.059357 57.758033) (xy 136.07989 58.00584) (xy 136.079892 58.005852) + (xy 136.140936 58.246909) (xy 136.240826 58.474634) (xy 136.376833 58.68281) (xy 136.396079 58.703717) + (xy 136.545256 58.865766) (xy 136.741491 59.018502) (xy 136.752525 59.024473) (xy 136.802115 59.073693) + (xy 136.817223 59.141909) (xy 136.793053 59.207465) (xy 136.737277 59.249546) (xy 136.693507 59.257528) + (xy 134.021116 59.257528) (xy 133.954077 59.237843) (xy 133.908322 59.185039) (xy 133.90756 59.183339) + (xy 133.889172 59.141419) (xy 133.753166 58.933245) (xy 133.654193 58.825732) (xy 133.584744 58.75029) + (xy 133.388509 58.597554) (xy 133.388507 58.597553) (xy 133.388506 58.597552) (xy 133.283764 58.540869) + (xy 133.234174 58.491649) (xy 133.219066 58.423433) (xy 133.243236 58.357877) (xy 133.255094 58.34414) + (xy 135.662416 55.936819) (xy 135.723739 55.903334) (xy 135.750097 55.9005) (xy 137.263331 55.9005) + (xy 137.263347 55.900501) (xy 137.270943 55.900501) (xy 137.429054 55.900501) (xy 137.429057 55.900501) + (xy 137.581785 55.859577) (xy 137.634647 55.829057) (xy 137.634648 55.829057) (xy 137.718709 55.780524) + (xy 137.718708 55.780524) (xy 137.718716 55.78052) (xy 137.83052 55.668716) (xy 137.830521 55.668714) + (xy 138.32052 55.178716) (xy 138.399577 55.041784) (xy 138.440501 54.889057) (xy 138.440501 54.836342) + (xy 138.460186 54.769303) (xy 138.505484 54.727287) (xy 138.532964 54.712416) (xy 138.608626 54.67147) + (xy 138.623335 54.660022) (xy 138.714507 54.58906) (xy 138.791784 54.528913) (xy 138.948979 54.358153) + (xy 139.006191 54.270582) (xy 139.059337 54.225226) (xy 139.128568 54.215802) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 162.116942 64.595185) (xy 162.137584 64.611819) (xy 164.038181 66.512416) (xy 164.071666 66.573739) + (xy 164.0745 66.600097) (xy 164.0745 72.895887) (xy 164.054815 72.962926) (xy 164.002011 73.008681) + (xy 163.992807 73.012295) (xy 163.991297 73.012999) (xy 163.846956 73.102031) (xy 163.727032 73.221955) + (xy 163.727029 73.221959) (xy 163.638001 73.366294) (xy 163.637996 73.366305) (xy 163.584651 73.52729) + (xy 163.5745 73.626647) (xy 163.5745 74.173337) (xy 163.574501 74.173355) (xy 163.58465 74.272707) + (xy 163.584651 74.27271) (xy 163.637996 74.433694) (xy 163.638001 74.433705) (xy 163.727029 74.57804) + (xy 163.727032 74.578044) (xy 163.846955 74.697967) (xy 163.846959 74.69797) (xy 163.991294 74.786998) + (xy 163.991297 74.786999) (xy 163.991303 74.787003) (xy 164.152292 74.840349) (xy 164.251655 74.8505) + (xy 165.098344 74.850499) (xy 165.098352 74.850498) (xy 165.098355 74.850498) (xy 165.15276 74.84494) + (xy 165.197708 74.840349) (xy 165.358697 74.787003) (xy 165.385402 74.77053) (xy 165.452793 74.752089) + (xy 165.519457 74.77301) (xy 165.564227 74.826651) (xy 165.5745 74.876068) (xy 165.5745 75.399902) + (xy 165.554815 75.466941) (xy 165.538181 75.487583) (xy 164.812582 76.213181) (xy 164.751259 76.246666) + (xy 164.724901 76.2495) (xy 164.251662 76.2495) (xy 164.251644 76.249501) (xy 164.152292 76.25965) + (xy 164.152289 76.259651) (xy 163.991305 76.312996) (xy 163.991294 76.313001) (xy 163.846959 76.402029) + (xy 163.846955 76.402032) (xy 163.727031 76.521956) (xy 163.715535 76.540596) (xy 163.663588 76.587321) + (xy 163.609996 76.5995) (xy 153.453954 76.5995) (xy 153.386915 76.579815) (xy 153.34116 76.527011) + (xy 153.331216 76.457853) (xy 153.334617 76.443227) (xy 153.335672 76.438261) (xy 153.335674 76.438256) + (xy 153.35546 76.25) (xy 153.335674 76.061744) (xy 153.277179 75.881716) (xy 153.229856 75.79975) + (xy 153.182534 75.717785) (xy 153.182529 75.717778) (xy 153.15735 75.689814) (xy 153.12712 75.626822) + (xy 153.1255 75.606842) (xy 153.1255 71.880878) (xy 153.8145 71.880878) (xy 153.83876 72.065139) + (xy 153.847874 72.134368) (xy 153.90289 72.33969) (xy 153.91405 72.38134) (xy 153.914053 72.38135) + (xy 154.011894 72.617559) (xy 154.011899 72.61757) (xy 154.139734 72.838985) (xy 154.139745 72.839001) + (xy 154.295388 73.041839) (xy 154.295394 73.041846) (xy 154.476181 73.222633) (xy 154.476188 73.222639) + (xy 154.597663 73.31585) (xy 154.679035 73.378289) (xy 154.679042 73.378293) (xy 154.900457 73.506128) + (xy 154.900462 73.50613) (xy 154.900465 73.506132) (xy 155.136687 73.603978) (xy 155.38366 73.670154) + (xy 155.637157 73.703528) (xy 155.637164 73.703528) (xy 155.892836 73.703528) (xy 155.892843 73.703528) + (xy 156.14634 73.670154) (xy 156.393313 73.603978) (xy 156.629535 73.506132) (xy 156.850965 73.378289) + (xy 157.053813 73.222638) (xy 157.23461 73.041841) (xy 157.390261 72.838993) (xy 157.518104 72.617563) + (xy 157.61595 72.381341) (xy 157.682126 72.134368) (xy 157.7155 71.880871) (xy 157.7155 71.839638) + (xy 160.1645 71.839638) (xy 160.190894 72.006289) (xy 160.191598 72.010729) (xy 160.245127 72.175473) + (xy 160.323768 72.329816) (xy 160.425586 72.469956) (xy 160.548072 72.592442) (xy 160.688212 72.69426) + (xy 160.842555 72.772901) (xy 161.007299 72.82643) (xy 161.178389 72.853528) (xy 161.17839 72.853528) + (xy 161.35161 72.853528) (xy 161.351611 72.853528) (xy 161.522701 72.82643) (xy 161.687445 72.772901) + (xy 161.841788 72.69426) (xy 161.981928 72.592442) (xy 162.104414 72.469956) (xy 162.206232 72.329816) + (xy 162.284873 72.175473) (xy 162.338402 72.010729) (xy 162.3655 71.839639) (xy 162.3655 71.666417) + (xy 162.338402 71.495327) (xy 162.284873 71.330583) (xy 162.206232 71.17624) (xy 162.104414 71.0361) + (xy 161.981928 70.913614) (xy 161.841788 70.811796) (xy 161.687445 70.733155) (xy 161.522701 70.679626) + (xy 161.522699 70.679625) (xy 161.522698 70.679625) (xy 161.391271 70.658809) (xy 161.351611 70.652528) + (xy 161.178389 70.652528) (xy 161.138728 70.658809) (xy 161.007302 70.679625) (xy 161.007299 70.679626) + (xy 160.869205 70.724496) (xy 160.842552 70.733156) (xy 160.688211 70.811796) (xy 160.614792 70.865139) + (xy 160.548072 70.913614) (xy 160.54807 70.913616) (xy 160.548069 70.913616) (xy 160.425588 71.036097) + (xy 160.425588 71.036098) (xy 160.425586 71.0361) (xy 160.40234 71.068095) (xy 160.323768 71.176239) + (xy 160.245128 71.33058) (xy 160.191597 71.49533) (xy 160.1645 71.666417) (xy 160.1645 71.839638) + (xy 157.7155 71.839638) (xy 157.7155 71.625185) (xy 157.682126 71.371688) (xy 157.61595 71.124715) + (xy 157.518104 70.888493) (xy 157.518102 70.88849) (xy 157.5181 70.888485) (xy 157.390265 70.66707) + (xy 157.390261 70.667063) (xy 157.265343 70.504267) (xy 157.234611 70.464216) (xy 157.234605 70.464209) + (xy 157.053818 70.283422) (xy 157.053811 70.283416) (xy 156.850973 70.127773) (xy 156.850971 70.127771) + (xy 156.850965 70.127767) (xy 156.85096 70.127764) (xy 156.850957 70.127762) (xy 156.629542 69.999927) + (xy 156.629531 69.999922) (xy 156.393322 69.902081) (xy 156.393315 69.902079) (xy 156.393313 69.902078) + (xy 156.14634 69.835902) (xy 156.090007 69.828485) (xy 155.89285 69.802528) (xy 155.892843 69.802528) + (xy 155.637157 69.802528) (xy 155.637149 69.802528) (xy 155.411826 69.832193) (xy 155.38366 69.835902) + (xy 155.156299 69.896823) (xy 155.136687 69.902078) (xy 155.136677 69.902081) (xy 154.900468 69.999922) + (xy 154.900457 69.999927) (xy 154.679042 70.127762) (xy 154.679026 70.127773) (xy 154.476188 70.283416) + (xy 154.476181 70.283422) (xy 154.295394 70.464209) (xy 154.295388 70.464216) (xy 154.139745 70.667054) + (xy 154.139734 70.66707) (xy 154.011899 70.888485) (xy 154.011894 70.888496) (xy 153.914053 71.124705) + (xy 153.91405 71.124715) (xy 153.85063 71.361405) (xy 153.847874 71.371689) (xy 153.8145 71.625177) + (xy 153.8145 71.880878) (xy 153.1255 71.880878) (xy 153.1255 69.802087) (xy 153.125501 69.802074) + (xy 153.125501 69.633973) (xy 153.125501 69.633971) (xy 153.084577 69.481243) (xy 153.040858 69.40552) + (xy 153.00552 69.344312) (xy 152.893716 69.232508) (xy 152.893715 69.232507) (xy 152.889385 69.228177) + (xy 152.889374 69.228167) (xy 152.423388 68.762181) (xy 152.389903 68.700858) (xy 152.394887 68.631166) + (xy 152.436759 68.575233) (xy 152.502223 68.550816) (xy 152.511069 68.5505) (xy 159.307556 68.5505) + (xy 159.374595 68.570185) (xy 159.42035 68.622989) (xy 159.421112 68.62469) (xy 159.440826 68.669634) + (xy 159.576833 68.87781) (xy 159.576836 68.877813) (xy 159.745256 69.060766) (xy 159.941491 69.213502) + (xy 160.16019 69.331856) (xy 160.395386 69.412599) (xy 160.640665 69.453528) (xy 160.889335 69.453528) + (xy 161.134614 69.412599) (xy 161.36981 69.331856) (xy 161.588509 69.213502) (xy 161.784744 69.060766) + (xy 161.953164 68.877813) (xy 162.089173 68.669635) (xy 162.189063 68.441909) (xy 162.250108 68.200849) + (xy 162.250109 68.20084) (xy 162.270643 67.953033) (xy 162.270643 67.953022) (xy 162.250109 67.705215) + (xy 162.250107 67.705203) (xy 162.189063 67.464146) (xy 162.089173 67.236421) (xy 161.953166 67.028245) + (xy 161.891047 66.960766) (xy 161.784744 66.84529) (xy 161.588509 66.692554) (xy 161.588507 66.692553) + (xy 161.588506 66.692552) (xy 161.369811 66.5742) (xy 161.369802 66.574197) (xy 161.134616 66.493457) + (xy 160.889335 66.452528) (xy 160.640665 66.452528) (xy 160.395383 66.493457) (xy 160.160197 66.574197) + (xy 160.160188 66.5742) (xy 159.941493 66.692552) (xy 159.745257 66.845289) (xy 159.576833 67.028245) + (xy 159.440825 67.236422) (xy 159.423769 67.275309) (xy 159.378814 67.328795) (xy 159.312078 67.349486) + (xy 159.310213 67.3495) (xy 156.642088 67.3495) (xy 156.575049 67.329815) (xy 156.529294 67.277011) + (xy 156.51935 67.207853) (xy 156.548375 67.144297) (xy 156.583072 67.116445) (xy 156.588499 67.113508) + (xy 156.588505 67.113504) (xy 156.588504 67.113504) (xy 156.588509 67.113502) (xy 156.784744 66.960766) + (xy 156.953164 66.777813) (xy 157.089173 66.569635) (xy 157.189063 66.341909) (xy 157.250108 66.100849) + (xy 157.252178 66.075868) (xy 157.270643 65.853033) (xy 157.270643 65.853022) (xy 157.250109 65.605215) + (xy 157.250108 65.605211) (xy 157.250108 65.605207) (xy 157.245534 65.587146) (xy 157.188992 65.363867) + (xy 157.191616 65.294046) (xy 157.221514 65.245748) (xy 157.855445 64.611819) (xy 157.916768 64.578334) + (xy 157.943126 64.5755) (xy 162.049903 64.5755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.44484 54.869075) (xy 147.490139 58.914374) (xy 147.490149 58.914385) (xy 147.494479 58.918715) + (xy 147.49448 58.918716) (xy 147.606284 59.03052) (xy 147.662905 59.063209) (xy 147.693095 59.080639) + (xy 147.693097 59.080641) (xy 147.721619 59.097108) (xy 147.743215 59.109577) (xy 147.895943 59.1505) + (xy 158.724903 59.1505) (xy 158.791942 59.170185) (xy 158.812584 59.186819) (xy 165.538181 65.912416) + (xy 165.571666 65.973739) (xy 165.5745 66.000097) (xy 165.5745 72.92393) (xy 165.554815 72.990969) + (xy 165.502011 73.036724) (xy 165.432853 73.046668) (xy 165.385404 73.029469) (xy 165.358704 73.013) + (xy 165.352155 73.009947) (xy 165.352904 73.008338) (xy 165.303047 72.973816) (xy 165.276227 72.909299) + (xy 165.2755 72.895887) (xy 165.2755 66.38906) (xy 165.275501 66.389047) (xy 165.275501 66.220944) + (xy 165.270023 66.200499) (xy 165.234577 66.068216) (xy 165.234573 66.068209) (xy 165.155524 65.93129) + (xy 165.155518 65.931282) (xy 162.83759 63.613355) (xy 162.837588 63.613352) (xy 162.718717 63.494481) + (xy 162.718716 63.49448) (xy 162.61496 63.434577) (xy 162.614959 63.434576) (xy 162.581783 63.415422) + (xy 162.525447 63.400327) (xy 162.429057 63.374499) (xy 162.270943 63.374499) (xy 162.263347 63.374499) + (xy 162.263331 63.3745) (xy 157.729698 63.3745) (xy 157.729682 63.374499) (xy 157.722086 63.374499) + (xy 157.563971 63.374499) (xy 157.492011 63.393781) (xy 157.411242 63.415423) (xy 157.411237 63.415426) + (xy 157.274318 63.494475) (xy 157.27431 63.494481) (xy 156.368548 64.400243) (xy 156.307225 64.433728) + (xy 156.240604 64.429843) (xy 156.134616 64.393457) (xy 155.889335 64.352528) (xy 155.640665 64.352528) + (xy 155.395383 64.393457) (xy 155.160197 64.474197) (xy 155.160188 64.4742) (xy 154.941493 64.592552) + (xy 154.746922 64.743993) (xy 154.745256 64.74529) (xy 154.734915 64.756523) (xy 154.576833 64.928245) + (xy 154.440826 65.136421) (xy 154.340936 65.364146) (xy 154.279892 65.605203) (xy 154.27989 65.605215) + (xy 154.259357 65.853022) (xy 154.259357 65.853033) (xy 154.27989 66.10084) (xy 154.279892 66.100852) + (xy 154.340936 66.341909) (xy 154.440826 66.569634) (xy 154.576833 66.77781) (xy 154.576836 66.777813) + (xy 154.745256 66.960766) (xy 154.941491 67.113502) (xy 154.941494 67.113504) (xy 154.9415 67.113508) + (xy 154.946928 67.116445) (xy 154.99652 67.165663) (xy 155.011629 67.23388) (xy 154.987458 67.299436) + (xy 154.931683 67.341518) (xy 154.887912 67.3495) (xy 152.219787 67.3495) (xy 152.152748 67.329815) + (xy 152.106993 67.277011) (xy 152.106231 67.275309) (xy 152.089174 67.236422) (xy 151.953166 67.028245) + (xy 151.891047 66.960766) (xy 151.784744 66.84529) (xy 151.588509 66.692554) (xy 151.588507 66.692553) + (xy 151.588506 66.692552) (xy 151.430482 66.607034) (xy 151.380892 66.557814) (xy 151.3655 66.497979) + (xy 151.3655 64.035942) (xy 151.362023 64.022967) (xy 151.362022 64.022964) (xy 151.348626 63.972969) + (xy 151.348626 63.972968) (xy 151.324577 63.883216) (xy 151.305689 63.8505) (xy 151.245524 63.74629) + (xy 151.245521 63.746286) (xy 151.24552 63.746284) (xy 151.133716 63.63448) (xy 151.133715 63.634479) + (xy 151.129385 63.630149) (xy 151.129374 63.630139) (xy 142.586471 55.087236) (xy 142.552986 55.025913) + (xy 142.55797 54.956221) (xy 142.599842 54.900288) (xy 142.665306 54.875871) (xy 142.69456 54.877245) + (xy 142.803951 54.8955) (xy 142.803952 54.8955) (xy 143.036048 54.8955) (xy 143.036049 54.8955) + (xy 143.264981 54.857298) (xy 143.316897 54.839474) (xy 143.386693 54.836324) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 134.111904 54.245304) (xy 134.133809 54.270583) (xy 134.191016 54.358147) (xy 134.191019 54.358151) + (xy 134.191021 54.358153) (xy 134.348216 54.528913) (xy 134.348219 54.528915) (xy 134.348222 54.528918) + (xy 134.531365 54.671464) (xy 134.531371 54.671468) (xy 134.531374 54.67147) (xy 134.735497 54.781936) + (xy 134.832982 54.815402) (xy 134.889996 54.855787) (xy 134.916127 54.920586) (xy 134.903076 54.989226) + (xy 134.880399 55.020364) (xy 132.196286 57.704478) (xy 132.084481 57.816282) (xy 132.084477 57.816287) + (xy 132.05414 57.868834) (xy 132.035858 57.9005) (xy 132.005423 57.953215) (xy 131.964499 58.105943) + (xy 131.964499 58.105945) (xy 131.964499 58.274046) (xy 131.9645 58.274059) (xy 131.9645 58.402979) + (xy 131.944815 58.470018) (xy 131.899518 58.512034) (xy 131.741493 58.597552) (xy 131.545257 58.750289) + (xy 131.545256 58.75029) (xy 131.541953 58.753878) (xy 131.376833 58.933245) (xy 131.240826 59.141421) + (xy 131.140936 59.369146) (xy 131.079892 59.610203) (xy 131.07989 59.610215) (xy 131.059357 59.858022) + (xy 131.059357 59.858033) (xy 131.07989 60.10584) (xy 131.079892 60.105852) (xy 131.140936 60.346909) + (xy 131.240826 60.574634) (xy 131.376833 60.78281) (xy 131.376836 60.782813) (xy 131.545256 60.965766) + (xy 131.741491 61.118502) (xy 131.741493 61.118503) (xy 131.956381 61.234795) (xy 131.96019 61.236856) + (xy 132.195386 61.317599) (xy 132.440665 61.358528) (xy 132.689335 61.358528) (xy 132.934608 61.3176) + (xy 132.934607 61.3176) (xy 132.934614 61.317599) (xy 133.040607 61.28121) (xy 133.110402 61.278061) + (xy 133.168548 61.310811) (xy 133.613181 61.755444) (xy 133.646666 61.816767) (xy 133.6495 61.843125) + (xy 133.6495 66.820781) (xy 133.629815 66.88782) (xy 133.61765 66.903753) (xy 133.492466 67.042784) + (xy 133.397821 67.206715) (xy 133.397818 67.206722) (xy 133.339327 67.38674) (xy 133.339326 67.386744) + (xy 133.31954 67.575) (xy 133.339326 67.763256) (xy 133.339327 67.763259) (xy 133.397818 67.943277) + (xy 133.397821 67.943284) (xy 133.492467 68.107216) (xy 133.501752 68.117528) (xy 133.531982 68.180519) + (xy 133.523357 68.249855) (xy 133.478615 68.30352) (xy 133.411963 68.324478) (xy 133.409602 68.3245) + (xy 133.275097 68.3245) (xy 133.208058 68.304815) (xy 133.187416 68.288181) (xy 130.036819 65.137584) + (xy 130.003334 65.076261) (xy 130.0005 65.049903) (xy 130.0005 64.214059) (xy 130.000501 64.214046) + (xy 130.000501 64.045945) (xy 130.000501 64.045943) (xy 129.959577 63.893215) (xy 129.923503 63.830734) + (xy 129.923503 63.830732) (xy 129.880524 63.75629) (xy 129.880521 63.756286) (xy 129.88052 63.756284) + (xy 129.868875 63.744639) (xy 130.9645 63.744639) (xy 130.966345 63.756286) (xy 130.991333 63.91406) + (xy 130.991598 63.915729) (xy 131.045127 64.080473) (xy 131.123768 64.234816) (xy 131.225586 64.374956) + (xy 131.348072 64.497442) (xy 131.488212 64.59926) (xy 131.642555 64.677901) (xy 131.807299 64.73143) + (xy 131.978389 64.758528) (xy 131.97839 64.758528) (xy 132.15161 64.758528) (xy 132.151611 64.758528) + (xy 132.322701 64.73143) (xy 132.487445 64.677901) (xy 132.641788 64.59926) (xy 132.781928 64.497442) + (xy 132.904414 64.374956) (xy 133.006232 64.234816) (xy 133.084873 64.080473) (xy 133.138402 63.915729) + (xy 133.1655 63.744639) (xy 133.1655 63.571417) (xy 133.138402 63.400327) (xy 133.084873 63.235583) + (xy 133.006232 63.08124) (xy 132.904414 62.9411) (xy 132.781928 62.818614) (xy 132.641788 62.716796) + (xy 132.487445 62.638155) (xy 132.322701 62.584626) (xy 132.322699 62.584625) (xy 132.322698 62.584625) + (xy 132.191271 62.563809) (xy 132.151611 62.557528) (xy 131.978389 62.557528) (xy 131.938728 62.563809) + (xy 131.807302 62.584625) (xy 131.642552 62.638156) (xy 131.488211 62.716796) (xy 131.432778 62.757071) + (xy 131.348072 62.818614) (xy 131.34807 62.818616) (xy 131.348069 62.818616) (xy 131.225588 62.941097) + (xy 131.225588 62.941098) (xy 131.225586 62.9411) (xy 131.195091 62.983073) (xy 131.123768 63.081239) + (xy 131.045128 63.23558) (xy 130.991597 63.40033) (xy 130.969859 63.537583) (xy 130.9645 63.571417) + (xy 130.9645 63.744639) (xy 129.868875 63.744639) (xy 129.768716 63.64448) (xy 129.768715 63.644479) + (xy 129.764385 63.640149) (xy 129.764374 63.640139) (xy 129.661818 63.537583) (xy 129.628333 63.47626) + (xy 129.625499 63.449902) (xy 129.625499 62.976662) (xy 129.625498 62.976644) (xy 129.615349 62.877292) + (xy 129.615348 62.877289) (xy 129.595905 62.818614) (xy 129.562003 62.716303) (xy 129.561999 62.716297) + (xy 129.561998 62.716294) (xy 129.47297 62.571959) (xy 129.472967 62.571955) (xy 129.353045 62.452033) + (xy 129.353044 62.452032) (xy 129.317385 62.430037) (xy 129.270663 62.378091) (xy 129.25944 62.309128) + (xy 129.287284 62.245046) (xy 129.345353 62.20619) (xy 129.382484 62.2005) (xy 129.563331 62.2005) + (xy 129.563347 62.200501) (xy 129.570943 62.200501) (xy 129.729054 62.200501) (xy 129.729057 62.200501) + (xy 129.881785 62.159577) (xy 129.881787 62.159575) (xy 129.881789 62.159575) (xy 129.88179 62.159574) + (xy 129.934644 62.129059) (xy 129.934645 62.129058) (xy 130.018716 62.08052) (xy 130.13052 61.968716) + (xy 130.130521 61.968714) (xy 130.63052 61.468716) (xy 130.709577 61.331784) (xy 130.750501 61.179057) + (xy 130.750501 61.020942) (xy 130.750501 61.013347) (xy 130.7505 61.013329) (xy 130.7505 56.405096) + (xy 130.770185 56.338057) (xy 130.786814 56.31742) (xy 132.23516 54.869073) (xy 132.296481 54.83559) + (xy 132.363102 54.839474) (xy 132.415019 54.857298) (xy 132.643951 54.8955) (xy 132.643952 54.8955) + (xy 132.876048 54.8955) (xy 132.876049 54.8955) (xy 133.104981 54.857298) (xy 133.324503 54.781936) + (xy 133.528626 54.67147) (xy 133.543335 54.660022) (xy 133.634507 54.58906) (xy 133.711784 54.528913) + (xy 133.868979 54.358153) (xy 133.926191 54.270582) (xy 133.979337 54.225226) (xy 134.048568 54.215802) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 137.52497 60.478213) (xy 137.545612 60.494847) (xy 139.463181 62.412416) (xy 139.496666 62.473739) + (xy 139.4995 62.500097) (xy 139.4995 62.644905) (xy 139.479815 62.711944) (xy 139.427011 62.757699) + (xy 139.357853 62.767643) (xy 139.294297 62.738618) (xy 139.268113 62.706906) (xy 139.230238 62.641305) + (xy 139.190261 62.572063) (xy 139.073807 62.420297) (xy 139.034611 62.369216) (xy 139.034605 62.369209) + (xy 138.853818 62.188422) (xy 138.853811 62.188416) (xy 138.650973 62.032773) (xy 138.650971 62.032771) + (xy 138.650965 62.032767) (xy 138.65096 62.032764) (xy 138.650957 62.032762) (xy 138.429542 61.904927) + (xy 138.429531 61.904922) (xy 138.193322 61.807081) (xy 138.193315 61.807079) (xy 138.193313 61.807078) + (xy 137.94634 61.740902) (xy 137.890007 61.733485) (xy 137.69285 61.707528) (xy 137.692843 61.707528) + (xy 137.437157 61.707528) (xy 137.437149 61.707528) (xy 137.211826 61.737193) (xy 137.18366 61.740902) + (xy 136.993844 61.791763) (xy 136.936687 61.807078) (xy 136.936677 61.807081) (xy 136.700468 61.904922) + (xy 136.700457 61.904927) (xy 136.479042 62.032762) (xy 136.479026 62.032773) (xy 136.276188 62.188416) + (xy 136.276181 62.188422) (xy 136.095394 62.369209) (xy 136.095388 62.369216) (xy 135.939745 62.572054) + (xy 135.939734 62.57207) (xy 135.811899 62.793485) (xy 135.811894 62.793496) (xy 135.714053 63.029705) + (xy 135.71405 63.029715) (xy 135.65889 63.235578) (xy 135.647874 63.276689) (xy 135.6145 63.530177) + (xy 135.6145 63.785878) (xy 135.63911 63.972799) (xy 135.647874 64.039368) (xy 135.71405 64.28634) + (xy 135.714053 64.28635) (xy 135.811894 64.522559) (xy 135.811899 64.52257) (xy 135.939734 64.743985) + (xy 135.939745 64.744001) (xy 136.095388 64.946839) (xy 136.095394 64.946846) (xy 136.276181 65.127633) + (xy 136.276188 65.127639) (xy 136.325832 65.165732) (xy 136.479035 65.283289) (xy 136.479042 65.283293) + (xy 136.700457 65.411128) (xy 136.700462 65.41113) (xy 136.700465 65.411132) (xy 136.936687 65.508978) + (xy 137.18366 65.575154) (xy 137.437157 65.608528) (xy 137.437164 65.608528) (xy 137.692836 65.608528) + (xy 137.692843 65.608528) (xy 137.94634 65.575154) (xy 138.193313 65.508978) (xy 138.429535 65.411132) + (xy 138.650965 65.283289) (xy 138.853813 65.127638) (xy 139.03461 64.946841) (xy 139.190261 64.743993) + (xy 139.268113 64.60915) (xy 139.31868 64.560934) (xy 139.387287 64.547712) (xy 139.452152 64.57368) + (xy 139.49268 64.630594) (xy 139.4995 64.67115) (xy 139.4995 65.663329) (xy 139.499499 65.663347) + (xy 139.499499 65.829053) (xy 139.499498 65.829053) (xy 139.501032 65.834777) (xy 139.540423 65.981784) + (xy 139.565129 66.024576) (xy 139.619477 66.118711) (xy 139.619481 66.118716) (xy 139.738349 66.237584) + (xy 139.738354 66.237588) (xy 141.381285 67.88052) (xy 141.518216 67.959577) (xy 141.670944 68.000501) + (xy 141.670947 68.000501) (xy 141.836655 68.000501) (xy 141.836671 68.0005) (xy 145.649126 68.0005) + (xy 145.716165 68.020185) (xy 145.76192 68.072989) (xy 145.771864 68.142147) (xy 145.742839 68.205703) + (xy 145.736807 68.212181) (xy 145.702032 68.246955) (xy 145.702031 68.246956) (xy 145.690535 68.265596) + (xy 145.638588 68.312321) (xy 145.584996 68.3245) (xy 135.040398 68.3245) (xy 134.973359 68.304815) + (xy 134.927604 68.252011) (xy 134.91766 68.182853) (xy 134.946685 68.119297) (xy 134.948248 68.117528) + (xy 134.957533 68.107216) (xy 135.052179 67.943284) (xy 135.110674 67.763256) (xy 135.13046 67.575) + (xy 135.110674 67.386744) (xy 135.052179 67.206716) (xy 134.957533 67.042784) (xy 134.94444 67.028243) + (xy 134.88235 66.959284) (xy 134.85212 66.896292) (xy 134.8505 66.876312) (xy 134.8505 61.632087) + (xy 134.850501 61.632074) (xy 134.850501 61.463973) (xy 134.850501 61.463971) (xy 134.809577 61.311243) + (xy 134.754913 61.216563) (xy 134.740408 61.191439) (xy 134.730522 61.174315) (xy 134.730521 61.174314) + (xy 134.73052 61.174312) (xy 134.618716 61.062508) (xy 134.618715 61.062507) (xy 134.614385 61.058177) + (xy 134.614374 61.058167) (xy 134.226416 60.670209) (xy 134.192931 60.608886) (xy 134.197915 60.539194) + (xy 134.239787 60.483261) (xy 134.305251 60.458844) (xy 134.314097 60.458528) (xy 137.457931 60.458528) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 118.537446 45.920185) (xy 118.583201 45.972989) (xy 118.593145 46.042147) (xy 118.56412 46.105703) + (xy 118.529423 46.133555) (xy 118.5165 46.140547) (xy 118.516494 46.140551) (xy 118.320257 46.293289) + (xy 118.151833 46.476245) (xy 118.015826 46.684421) (xy 117.915936 46.912146) (xy 117.854892 47.153203) + (xy 117.85489 47.153215) (xy 117.834357 47.401022) (xy 117.834357 47.401033) (xy 117.85489 47.64884) + (xy 117.854892 47.648852) (xy 117.915936 47.889909) (xy 118.015826 48.117634) (xy 118.151833 48.32581) + (xy 118.151836 48.325813) (xy 118.320256 48.508766) (xy 118.516491 48.661502) (xy 118.73519 48.779856) + (xy 118.970386 48.860599) (xy 119.215665 48.901528) (xy 119.464335 48.901528) (xy 119.709614 48.860599) + (xy 119.789287 48.833246) (xy 119.859082 48.830096) (xy 119.917229 48.862847) (xy 121.363181 50.308799) + (xy 121.396666 50.370122) (xy 121.3995 50.39648) (xy 121.3995 52.552808) (xy 121.379815 52.619847) + (xy 121.327011 52.665602) (xy 121.257853 52.675546) (xy 121.194297 52.646521) (xy 121.160939 52.600261) + (xy 121.10329 52.461084) (xy 121.093104 52.436493) (xy 121.093102 52.43649) (xy 121.0931 52.436485) + (xy 120.965265 52.21507) (xy 120.965261 52.215063) (xy 120.877094 52.100162) (xy 120.809611 52.012216) + (xy 120.809605 52.012209) (xy 120.628818 51.831422) (xy 120.628811 51.831416) (xy 120.425973 51.675773) + (xy 120.425971 51.675771) (xy 120.425965 51.675767) (xy 120.42596 51.675764) (xy 120.425957 51.675762) + (xy 120.204542 51.547927) (xy 120.204531 51.547922) (xy 119.968322 51.450081) (xy 119.968315 51.450079) + (xy 119.968313 51.450078) (xy 119.72134 51.383902) (xy 119.665007 51.376485) (xy 119.46785 51.350528) + (xy 119.467843 51.350528) (xy 119.212157 51.350528) (xy 119.212149 51.350528) (xy 118.986826 51.380193) + (xy 118.95866 51.383902) (xy 118.711687 51.450078) (xy 118.711677 51.450081) (xy 118.475468 51.547922) + (xy 118.475457 51.547927) (xy 118.254042 51.675762) (xy 118.254026 51.675773) (xy 118.051188 51.831416) + (xy 118.051181 51.831422) (xy 117.870394 52.012209) (xy 117.870388 52.012216) (xy 117.714745 52.215054) + (xy 117.714734 52.21507) (xy 117.586899 52.436485) (xy 117.586894 52.436496) (xy 117.489053 52.672705) + (xy 117.48905 52.672715) (xy 117.42794 52.900784) (xy 117.422874 52.919689) (xy 117.3895 53.173177) + (xy 117.3895 53.428878) (xy 117.407495 53.565554) (xy 117.422874 53.682368) (xy 117.487974 53.925326) + (xy 117.48905 53.92934) (xy 117.489053 53.92935) (xy 117.586894 54.165559) (xy 117.586899 54.16557) + (xy 117.714734 54.386985) (xy 117.714745 54.387001) (xy 117.870388 54.589839) (xy 117.870394 54.589846) + (xy 118.051181 54.770633) (xy 118.051188 54.770639) (xy 118.136814 54.836342) (xy 118.254035 54.926289) + (xy 118.254042 54.926293) (xy 118.475457 55.054128) (xy 118.475462 55.05413) (xy 118.475465 55.054132) + (xy 118.56799 55.092457) (xy 118.677443 55.137794) (xy 118.711687 55.151978) (xy 118.95866 55.218154) + (xy 119.212157 55.251528) (xy 119.212164 55.251528) (xy 119.467836 55.251528) (xy 119.467843 55.251528) + (xy 119.72134 55.218154) (xy 119.968313 55.151978) (xy 120.204535 55.054132) (xy 120.425965 54.926289) + (xy 120.628813 54.770638) (xy 120.80961 54.589841) (xy 120.965261 54.386993) (xy 121.093104 54.165563) + (xy 121.093814 54.163849) (xy 121.160939 54.001795) (xy 121.20478 53.947391) (xy 121.271074 53.925326) + (xy 121.338773 53.942605) (xy 121.386384 53.993742) (xy 121.3995 54.049247) (xy 121.3995 57.93833) + (xy 121.399499 57.938348) (xy 121.399499 58.104054) (xy 121.399498 58.104054) (xy 121.437776 58.246909) + (xy 121.440423 58.256785) (xy 121.465585 58.300366) (xy 121.498587 58.357528) (xy 121.519479 58.393714) + (xy 121.519481 58.393717) (xy 121.638349 58.512585) (xy 121.638355 58.51259) (xy 123.440139 60.314374) + (xy 123.440149 60.314385) (xy 123.444479 60.318715) (xy 123.44448 60.318716) (xy 123.556284 60.43052) + (xy 123.634194 60.475501) (xy 123.693215 60.509577) (xy 123.845943 60.5505) (xy 127.459996 60.5505) + (xy 127.527035 60.570185) (xy 127.565534 60.609403) (xy 127.577032 60.628044) (xy 127.696956 60.747968) + (xy 127.732614 60.769962) (xy 127.779337 60.821909) (xy 127.79056 60.890872) (xy 127.762716 60.954954) + (xy 127.704647 60.99381) (xy 127.667516 60.9995) (xy 110.941953 60.9995) (xy 110.874914 60.979815) + (xy 110.829159 60.927011) (xy 110.819215 60.857853) (xy 110.84824 60.794297) (xy 110.876856 60.769962) + (xy 110.907073 60.751323) (xy 110.953044 60.722968) (xy 111.072968 60.603044) (xy 111.162003 60.458697) + (xy 111.215349 60.297708) (xy 111.2255 60.198345) (xy 111.225499 59.651656) (xy 111.220391 59.601655) + (xy 111.215349 59.552292) (xy 111.215348 59.552289) (xy 111.196192 59.49448) (xy 111.162003 59.391303) + (xy 111.161999 59.391297) (xy 111.161998 59.391294) (xy 111.07297 59.246959) (xy 111.072967 59.246955) + (xy 110.953043 59.127031) (xy 110.859402 59.069272) (xy 110.812678 59.017324) (xy 110.8005 58.963734) + (xy 110.8005 54.58906) (xy 110.800501 54.589047) (xy 110.800501 54.420944) (xy 110.800501 54.420943) + (xy 110.759577 54.268216) (xy 110.745609 54.244023) (xy 110.680524 54.13129) (xy 110.680518 54.131282) + (xy 109.936874 53.387638) (xy 112.7395 53.387638) (xy 112.76649 53.558051) (xy 112.766598 53.558729) + (xy 112.820127 53.723473) (xy 112.898768 53.877816) (xy 113.000586 54.017956) (xy 113.123072 54.140442) + (xy 113.263212 54.24226) (xy 113.417555 54.320901) (xy 113.582299 54.37443) (xy 113.753389 54.401528) + (xy 113.75339 54.401528) (xy 113.92661 54.401528) (xy 113.926611 54.401528) (xy 114.097701 54.37443) + (xy 114.262445 54.320901) (xy 114.416788 54.24226) (xy 114.556928 54.140442) (xy 114.679414 54.017956) + (xy 114.781232 53.877816) (xy 114.859873 53.723473) (xy 114.913402 53.558729) (xy 114.9405 53.387639) + (xy 114.9405 53.214417) (xy 114.913402 53.043327) (xy 114.859873 52.878583) (xy 114.781232 52.72424) + (xy 114.679414 52.5841) (xy 114.556928 52.461614) (xy 114.416788 52.359796) (xy 114.335794 52.318528) + (xy 114.262447 52.281156) (xy 114.262446 52.281155) (xy 114.262445 52.281155) (xy 114.097701 52.227626) + (xy 114.097699 52.227625) (xy 114.097698 52.227625) (xy 113.966271 52.206809) (xy 113.926611 52.200528) + (xy 113.753389 52.200528) (xy 113.713728 52.206809) (xy 113.582302 52.227625) (xy 113.417552 52.281156) + (xy 113.263211 52.359796) (xy 113.210644 52.397989) (xy 113.123072 52.461614) (xy 113.12307 52.461616) + (xy 113.123069 52.461616) (xy 113.000588 52.584097) (xy 113.000588 52.584098) (xy 113.000586 52.5841) + (xy 112.974614 52.619847) (xy 112.898768 52.724239) (xy 112.820128 52.87858) (xy 112.766597 53.04333) + (xy 112.7395 53.214417) (xy 112.7395 53.387638) (xy 109.936874 53.387638) (xy 109.587933 53.038697) + (xy 108.486415 51.93718) (xy 108.452931 51.875858) (xy 108.457915 51.806166) (xy 108.499787 51.750233) + (xy 108.565251 51.725816) (xy 108.574097 51.7255) (xy 109.888331 51.7255) (xy 109.888347 51.725501) + (xy 109.895943 51.725501) (xy 110.054054 51.725501) (xy 110.054057 51.725501) (xy 110.206785 51.684577) + (xy 110.262125 51.652626) (xy 110.343716 51.60552) (xy 110.45552 51.493716) (xy 110.45552 51.493714) + (xy 110.465724 51.483511) (xy 110.465728 51.483506) (xy 112.630055 49.319178) (xy 112.691376 49.285695) + (xy 112.761068 49.290679) (xy 112.817001 49.332551) (xy 112.841418 49.398015) (xy 112.841311 49.4171) + (xy 112.834358 49.501022) (xy 112.834357 49.501032) (xy 112.834357 49.501033) (xy 112.85489 49.74884) + (xy 112.854892 49.748852) (xy 112.915936 49.989909) (xy 113.015826 50.217634) (xy 113.151833 50.42581) + (xy 113.151836 50.425813) (xy 113.320256 50.608766) (xy 113.516491 50.761502) (xy 113.516493 50.761503) + (xy 113.70186 50.861819) (xy 113.73519 50.879856) (xy 113.970386 50.960599) (xy 114.215665 51.001528) + (xy 114.464335 51.001528) (xy 114.709614 50.960599) (xy 114.94481 50.879856) (xy 115.163509 50.761502) + (xy 115.359744 50.608766) (xy 115.528164 50.425813) (xy 115.664173 50.217635) (xy 115.764063 49.989909) + (xy 115.825108 49.748849) (xy 115.83228 49.662295) (xy 115.845643 49.501033) (xy 115.845643 49.501022) + (xy 115.825109 49.253215) (xy 115.825107 49.253203) (xy 115.764063 49.012146) (xy 115.664173 48.784421) + (xy 115.528166 48.576245) (xy 115.414537 48.452811) (xy 115.383615 48.390156) (xy 115.391475 48.32073) + (xy 115.418083 48.28115) (xy 117.762417 45.936819) (xy 117.82374 45.903334) (xy 117.850098 45.9005) + (xy 118.470407 45.9005) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 121.816942 45.920185) (xy 121.837584 45.936819) (xy 123.703181 47.802416) (xy 123.736666 47.863739) + (xy 123.7395 47.890097) (xy 123.7395 48.045979) (xy 123.719815 48.113018) (xy 123.674518 48.155034) + (xy 123.516493 48.240552) (xy 123.320257 48.393289) (xy 123.151833 48.576245) (xy 123.015826 48.784421) + (xy 122.915936 49.012146) (xy 122.854892 49.253203) (xy 122.85489 49.253215) (xy 122.834357 49.501022) + (xy 122.834357 49.501033) (xy 122.85489 49.74884) (xy 122.854892 49.748852) (xy 122.915936 49.989909) + (xy 123.015826 50.217634) (xy 123.151833 50.42581) (xy 123.151836 50.425813) (xy 123.320256 50.608766) + (xy 123.516491 50.761502) (xy 123.516493 50.761503) (xy 123.70186 50.861819) (xy 123.73519 50.879856) + (xy 123.970386 50.960599) (xy 124.215665 51.001528) (xy 124.21567 51.001528) (xy 124.220446 51.002325) + (xy 124.283331 51.032775) (xy 124.287718 51.036953) (xy 125.296401 52.045636) (xy 125.329886 52.106959) + (xy 125.324902 52.176651) (xy 125.28303 52.232584) (xy 125.217566 52.257001) (xy 125.170402 52.251248) + (xy 125.097698 52.227625) (xy 124.966271 52.206809) (xy 124.926611 52.200528) (xy 124.753389 52.200528) + (xy 124.713728 52.206809) (xy 124.582302 52.227625) (xy 124.417552 52.281156) (xy 124.263211 52.359796) + (xy 124.210644 52.397989) (xy 124.123072 52.461614) (xy 124.12307 52.461616) (xy 124.123069 52.461616) + (xy 124.000588 52.584097) (xy 124.000588 52.584098) (xy 124.000586 52.5841) (xy 123.974614 52.619847) + (xy 123.898768 52.724239) (xy 123.820128 52.87858) (xy 123.766597 53.04333) (xy 123.7395 53.214417) + (xy 123.7395 53.387638) (xy 123.76649 53.558051) (xy 123.766598 53.558729) (xy 123.820127 53.723473) + (xy 123.898768 53.877816) (xy 124.000586 54.017956) (xy 124.123072 54.140442) (xy 124.263212 54.24226) + (xy 124.417555 54.320901) (xy 124.582299 54.37443) (xy 124.753389 54.401528) (xy 124.75339 54.401528) + (xy 124.92661 54.401528) (xy 124.926611 54.401528) (xy 125.097701 54.37443) (xy 125.262445 54.320901) + (xy 125.416788 54.24226) (xy 125.556928 54.140442) (xy 125.679414 54.017956) (xy 125.781232 53.877816) + (xy 125.859873 53.723473) (xy 125.913402 53.558729) (xy 125.9405 53.387639) (xy 125.9405 53.214417) + (xy 125.913402 53.043327) (xy 125.889778 52.970623) (xy 125.887784 52.900784) (xy 125.923864 52.840951) + (xy 125.986565 52.810123) (xy 126.055979 52.818087) (xy 126.095391 52.844626) (xy 127.110139 53.859374) + (xy 127.110149 53.859385) (xy 127.114479 53.863715) (xy 127.11448 53.863716) (xy 127.226284 53.97552) + (xy 127.299786 54.017956) (xy 127.363215 54.054577) (xy 127.515943 54.0955) (xy 127.674057 54.0955) + (xy 128.873199 54.0955) (xy 128.940238 54.115185) (xy 128.982253 54.160481) (xy 128.984075 54.163848) + (xy 129.111016 54.358147) (xy 129.111019 54.358151) (xy 129.111021 54.358153) (xy 129.268216 54.528913) + (xy 129.268219 54.528915) (xy 129.268222 54.528918) (xy 129.451365 54.671464) (xy 129.451371 54.671468) + (xy 129.451374 54.67147) (xy 129.572614 54.737082) (xy 129.638319 54.77264) (xy 129.655497 54.781936) + (xy 129.752977 54.815401) (xy 129.875015 54.857297) (xy 129.875017 54.857297) (xy 129.875019 54.857298) + (xy 130.103951 54.8955) (xy 130.103952 54.8955) (xy 130.210902 54.8955) (xy 130.277941 54.915185) + (xy 130.323696 54.967989) (xy 130.33364 55.037147) (xy 130.304615 55.100703) (xy 130.298583 55.107181) + (xy 129.669481 55.736282) (xy 129.669479 55.736285) (xy 129.636612 55.793214) (xy 129.636611 55.793216) + (xy 129.590423 55.873214) (xy 129.581538 55.906374) (xy 129.549499 56.025943) (xy 129.549499 56.025945) + (xy 129.549499 56.194046) (xy 129.5495 56.194059) (xy 129.5495 59.051243) (xy 129.529815 59.118282) + (xy 129.477011 59.164037) (xy 129.407853 59.173981) (xy 129.360532 59.153647) (xy 129.359191 59.155823) + (xy 129.208705 59.063001) (xy 129.208699 59.062998) (xy 129.208697 59.062997) (xy 129.208694 59.062996) + (xy 129.047709 59.009651) (xy 128.948346 58.9995) (xy 128.101662 58.9995) (xy 128.101644 58.999501) + (xy 128.002292 59.00965) (xy 128.002289 59.009651) (xy 127.841305 59.062996) (xy 127.841294 59.063001) + (xy 127.696959 59.152029) (xy 127.696955 59.152032) (xy 127.577031 59.271956) (xy 127.565535 59.290596) + (xy 127.513588 59.337321) (xy 127.459996 59.3495) (xy 124.225097 59.3495) (xy 124.158058 59.329815) + (xy 124.137416 59.313181) (xy 122.636819 57.812584) (xy 122.603334 57.751261) (xy 122.6005 57.724903) + (xy 122.6005 50.260532) (xy 122.602883 50.236341) (xy 122.625499 50.122638) (xy 122.6255 50.122636) + (xy 122.6255 49.99942) (xy 122.625499 49.999416) (xy 122.601464 49.878583) (xy 122.601463 49.878577) + (xy 122.60146 49.87857) (xy 122.601459 49.878566) (xy 122.554314 49.764746) (xy 122.554309 49.764737) + (xy 122.48586 49.6623) (xy 122.485857 49.662295) (xy 120.80366 47.980098) (xy 120.770175 47.918775) + (xy 120.771135 47.861978) (xy 120.825108 47.648849) (xy 120.828652 47.606081) (xy 120.845643 47.401033) + (xy 120.845643 47.401022) (xy 120.825109 47.153215) (xy 120.825107 47.153203) (xy 120.764063 46.912146) + (xy 120.664173 46.684421) (xy 120.528166 46.476245) (xy 120.459846 46.40203) (xy 120.359744 46.29329) + (xy 120.163509 46.140554) (xy 120.163508 46.140553) (xy 120.163505 46.140551) (xy 120.163499 46.140547) + (xy 120.150577 46.133555) (xy 120.100985 46.084336) (xy 120.085876 46.01612) (xy 120.110047 45.950564) + (xy 120.165822 45.908482) (xy 120.209593 45.9005) (xy 121.749903 45.9005) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 144.269195 48.223385) (xy 144.289837 48.240019) (xy 147.936744 51.886926) (xy 147.970229 51.948249) + (xy 147.965245 52.017941) (xy 147.923373 52.073874) (xy 147.869473 52.096916) (xy 147.655015 52.132702) + (xy 147.435504 52.208061) (xy 147.435495 52.208064) (xy 147.231371 52.318531) (xy 147.231365 52.318535) + (xy 147.048222 52.461081) (xy 147.048219 52.461084) (xy 147.048216 52.461086) (xy 147.048216 52.461087) + (xy 147.039249 52.470828) (xy 146.891016 52.631852) (xy 146.833809 52.719416) (xy 146.780662 52.764773) + (xy 146.711431 52.774197) (xy 146.648095 52.744695) (xy 146.626191 52.719416) (xy 146.568983 52.631852) + (xy 146.56898 52.631849) (xy 146.568979 52.631847) (xy 146.411784 52.461087) (xy 146.411779 52.461083) + (xy 146.411777 52.461081) (xy 146.228634 52.318535) (xy 146.228628 52.318531) (xy 146.024504 52.208064) + (xy 146.024495 52.208061) (xy 145.804984 52.132702) (xy 145.628988 52.103334) (xy 145.576049 52.0945) + (xy 145.343951 52.0945) (xy 145.307995 52.1005) (xy 145.115015 52.132702) (xy 144.895504 52.208061) + (xy 144.895495 52.208064) (xy 144.691371 52.318531) (xy 144.691365 52.318535) (xy 144.508222 52.461081) + (xy 144.508219 52.461084) (xy 144.508216 52.461086) (xy 144.508216 52.461087) (xy 144.499249 52.470828) + (xy 144.351016 52.631852) (xy 144.293809 52.719416) (xy 144.240662 52.764773) (xy 144.171431 52.774197) + (xy 144.108095 52.744695) (xy 144.086191 52.719416) (xy 144.028983 52.631852) (xy 144.02898 52.631849) + (xy 144.028979 52.631847) (xy 143.871784 52.461087) (xy 143.871779 52.461083) (xy 143.871777 52.461081) + (xy 143.688634 52.318535) (xy 143.688628 52.318531) (xy 143.484504 52.208064) (xy 143.484495 52.208061) + (xy 143.264984 52.132702) (xy 143.088988 52.103334) (xy 143.036049 52.0945) (xy 142.803951 52.0945) + (xy 142.767995 52.1005) (xy 142.575015 52.132702) (xy 142.355504 52.208061) (xy 142.355495 52.208064) + (xy 142.151371 52.318531) (xy 142.151365 52.318535) (xy 141.968222 52.461081) (xy 141.968219 52.461084) + (xy 141.968216 52.461086) (xy 141.968216 52.461087) (xy 141.959249 52.470828) (xy 141.811016 52.631852) + (xy 141.753809 52.719416) (xy 141.700662 52.764773) (xy 141.631431 52.774197) (xy 141.568095 52.744695) + (xy 141.546191 52.719416) (xy 141.488983 52.631852) (xy 141.48898 52.631849) (xy 141.488979 52.631847) + (xy 141.331784 52.461087) (xy 141.331779 52.461083) (xy 141.331777 52.461081) (xy 141.148634 52.318535) + (xy 141.148628 52.318531) (xy 140.944504 52.208064) (xy 140.944495 52.208061) (xy 140.724984 52.132702) + (xy 140.548988 52.103334) (xy 140.496049 52.0945) (xy 140.263951 52.0945) (xy 140.227995 52.1005) + (xy 140.035015 52.132702) (xy 139.815504 52.208061) (xy 139.815495 52.208064) (xy 139.611371 52.318531) + (xy 139.611365 52.318535) (xy 139.428222 52.461081) (xy 139.428219 52.461084) (xy 139.428216 52.461086) + (xy 139.428216 52.461087) (xy 139.419249 52.470828) (xy 139.271016 52.631852) (xy 139.213809 52.719416) + (xy 139.160662 52.764773) (xy 139.091431 52.774197) (xy 139.028095 52.744695) (xy 139.006191 52.719416) + (xy 138.948983 52.631852) (xy 138.94898 52.631849) (xy 138.948979 52.631847) (xy 138.791784 52.461087) + (xy 138.791779 52.461083) (xy 138.791777 52.461081) (xy 138.608634 52.318535) (xy 138.608628 52.318531) + (xy 138.404504 52.208064) (xy 138.404495 52.208061) (xy 138.184984 52.132702) (xy 138.008988 52.103334) + (xy 137.956049 52.0945) (xy 137.723951 52.0945) (xy 137.687995 52.1005) (xy 137.495015 52.132702) + (xy 137.275504 52.208061) (xy 137.275495 52.208064) (xy 137.071371 52.318531) (xy 137.071365 52.318535) + (xy 136.888222 52.461081) (xy 136.888219 52.461084) (xy 136.888216 52.461086) (xy 136.888216 52.461087) + (xy 136.879249 52.470828) (xy 136.731016 52.631852) (xy 136.673809 52.719416) (xy 136.620662 52.764773) + (xy 136.551431 52.774197) (xy 136.488095 52.744695) (xy 136.466191 52.719416) (xy 136.408983 52.631852) + (xy 136.40898 52.631849) (xy 136.408979 52.631847) (xy 136.251784 52.461087) (xy 136.251779 52.461083) + (xy 136.251777 52.461081) (xy 136.068634 52.318535) (xy 136.068628 52.318531) (xy 135.864504 52.208064) + (xy 135.864495 52.208061) (xy 135.644984 52.132702) (xy 135.468988 52.103334) (xy 135.416049 52.0945) + (xy 135.183951 52.0945) (xy 135.147995 52.1005) (xy 134.955014 52.132702) (xy 134.903098 52.150524) + (xy 134.8333 52.153672) (xy 134.775158 52.120923) (xy 134.01759 51.363355) (xy 134.017588 51.363352) + (xy 133.898717 51.244481) (xy 133.898709 51.244475) (xy 133.806076 51.190994) (xy 133.806074 51.190993) + (xy 133.76179 51.165425) (xy 133.761789 51.165424) (xy 133.720535 51.15437) (xy 133.609057 51.124499) + (xy 133.450943 51.124499) (xy 133.443347 51.124499) (xy 133.443331 51.1245) (xy 131.613999 51.1245) + (xy 131.54696 51.104815) (xy 131.501205 51.052011) (xy 131.489999 51.0005) (xy 131.489999 48.3277) + (xy 131.509684 48.260661) (xy 131.562488 48.214906) (xy 131.613999 48.2037) (xy 144.202156 48.2037) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 128.940238 38.875185) (xy 128.982253 38.920481) (xy 128.984075 38.923848) (xy 129.111016 39.118147) + (xy 129.111019 39.118151) (xy 129.111021 39.118153) (xy 129.268216 39.288913) (xy 129.268219 39.288915) + (xy 129.268222 39.288918) (xy 129.451365 39.431464) (xy 129.451371 39.431468) (xy 129.451374 39.43147) + (xy 129.548177 39.483857) (xy 129.635817 39.531286) (xy 129.655497 39.541936) (xy 129.72601 39.566143) + (xy 129.875015 39.617297) (xy 129.875017 39.617297) (xy 129.875019 39.617298) (xy 130.103951 39.6555) + (xy 130.103952 39.6555) (xy 130.336048 39.6555) (xy 130.336049 39.6555) (xy 130.564981 39.617298) + (xy 130.784503 39.541936) (xy 130.988626 39.43147) (xy 130.994182 39.427146) (xy 131.054021 39.380571) + (xy 131.171784 39.288913) (xy 131.328979 39.118153) (xy 131.386191 39.030582) (xy 131.439337 38.985226) + (xy 131.508568 38.975802) (xy 131.571904 39.005304) (xy 131.593809 39.030583) (xy 131.651016 39.118147) + (xy 131.651019 39.118151) (xy 131.651021 39.118153) (xy 131.808216 39.288913) (xy 131.808219 39.288915) + (xy 131.808222 39.288918) (xy 131.991365 39.431464) (xy 131.991371 39.431468) (xy 131.991374 39.43147) + (xy 132.088177 39.483857) (xy 132.175817 39.531286) (xy 132.195497 39.541936) (xy 132.26601 39.566143) + (xy 132.415015 39.617297) (xy 132.415017 39.617297) (xy 132.415019 39.617298) (xy 132.643951 39.6555) + (xy 132.643952 39.6555) (xy 132.876048 39.6555) (xy 132.876049 39.6555) (xy 133.104981 39.617298) + (xy 133.324503 39.541936) (xy 133.528626 39.43147) (xy 133.534182 39.427146) (xy 133.594021 39.380571) + (xy 133.711784 39.288913) (xy 133.868979 39.118153) (xy 133.926191 39.030582) (xy 133.979337 38.985226) + (xy 134.048568 38.975802) (xy 134.111904 39.005304) (xy 134.133809 39.030583) (xy 134.191016 39.118147) + (xy 134.191019 39.118151) (xy 134.191021 39.118153) (xy 134.348216 39.288913) (xy 134.348219 39.288915) + (xy 134.348222 39.288918) (xy 134.525818 39.427147) (xy 134.566631 39.483857) (xy 134.570306 39.55363) + (xy 134.535674 39.614313) (xy 134.525818 39.622853) (xy 134.348222 39.761081) (xy 134.348219 39.761084) + (xy 134.191016 39.931852) (xy 134.064075 40.126151) (xy 133.970842 40.338699) (xy 133.913866 40.563691) + (xy 133.913864 40.563702) (xy 133.8947 40.794993) (xy 133.8947 40.795006) (xy 133.913864 41.026297) + (xy 133.913866 41.026308) (xy 133.970842 41.2513) (xy 134.064075 41.463848) (xy 134.191016 41.658147) + (xy 134.191019 41.658151) (xy 134.191021 41.658153) (xy 134.348216 41.828913) (xy 134.348219 41.828915) + (xy 134.348222 41.828918) (xy 134.525818 41.967147) (xy 134.566631 42.023857) (xy 134.570306 42.09363) + (xy 134.535674 42.154313) (xy 134.525818 42.162853) (xy 134.348222 42.301081) (xy 134.348219 42.301084) + (xy 134.348216 42.301086) (xy 134.348216 42.301087) (xy 134.331476 42.319272) (xy 134.191016 42.471852) + (xy 134.064075 42.666151) (xy 133.970842 42.878699) (xy 133.913866 43.103691) (xy 133.913864 43.103702) + (xy 133.8947 43.334993) (xy 133.8947 43.335006) (xy 133.913864 43.566297) (xy 133.913866 43.566308) + (xy 133.970842 43.7913) (xy 134.064075 44.003848) (xy 134.191016 44.198147) (xy 134.191019 44.198151) + (xy 134.191021 44.198153) (xy 134.348216 44.368913) (xy 134.348219 44.368915) (xy 134.348222 44.368918) + (xy 134.525818 44.507147) (xy 134.566631 44.563857) (xy 134.570306 44.63363) (xy 134.535674 44.694313) + (xy 134.525818 44.702853) (xy 134.348222 44.841081) (xy 134.348219 44.841084) (xy 134.191016 45.011852) + (xy 134.064075 45.206151) (xy 133.970842 45.418699) (xy 133.913866 45.643691) (xy 133.913864 45.643702) + (xy 133.8947 45.874993) (xy 133.8947 45.875006) (xy 133.913864 46.106297) (xy 133.913866 46.106308) + (xy 133.970842 46.3313) (xy 134.064075 46.543848) (xy 134.103687 46.604479) (xy 134.123875 46.671368) + (xy 134.104694 46.738554) (xy 134.052235 46.784704) (xy 133.999878 46.7963) (xy 131.613999 46.7963) + (xy 131.54696 46.776615) (xy 131.501205 46.723811) (xy 131.489999 46.6723) (xy 131.49 43.647888) + (xy 131.49 40.605) (xy 126.868596 40.605) (xy 126.801557 40.585315) (xy 126.755802 40.532511) (xy 126.745858 40.463353) + (xy 126.774883 40.399797) (xy 126.780915 40.393319) (xy 127.518735 39.6555) (xy 128.282416 38.891819) + (xy 128.343739 38.858334) (xy 128.370097 38.8555) (xy 128.873199 38.8555) + ) + ) + ) + (zone + (net 43) + (net_name "TPVCC") + (layer "F.Cu") + (uuid "8421a6b7-8183-40ff-983e-92bbf7d788a8") + (hatch edge 0.5) + (priority 2) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 62.7 58.525) (xy 62.7 57.925) (xy 67.075 57.95) (xy 67.3 50.975) (xy 69.55 48.75) (xy 71.025 48.775) + (xy 68.725 50.975) (xy 68.725 69.5) (xy 62.675 69.55) (xy 63.575 68.85) (xy 66.225 66.45) (xy 66.2 60.8) + (xy 63.625 58.55) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 70.723436 48.769888) (xy 70.790131 48.790706) (xy 70.834984 48.844277) (xy 70.843754 48.913594) + (xy 70.813656 48.976649) (xy 70.807045 48.983478) (xy 68.725 50.975) (xy 68.725 69.37702) (xy 68.705315 69.444059) + (xy 68.652511 69.489814) (xy 68.602025 69.501016) (xy 63.31218 69.544733) (xy 63.24498 69.525603) + (xy 63.223474 69.508418) (xy 63.199333 69.484277) (xy 62.759501 69.484277) (xy 63.575 68.85) (xy 66.225 66.45) + (xy 66.2 60.8) (xy 66.2 60.799999) (xy 63.625 58.55) (xy 63.304449 58.541336) (xy 63.237965 58.519847) + (xy 63.220118 58.505062) (xy 63.199332 58.484276) (xy 62.960803 58.484276) (xy 62.91747 58.476458) + (xy 62.806815 58.435186) (xy 62.806816 58.435186) (xy 62.747216 58.428779) (xy 62.747214 58.428778) + (xy 62.747206 58.428778) (xy 62.747198 58.428778) (xy 62.7 58.428778) (xy 62.7 57.984276) (xy 63.199331 57.984276) + (xy 63.21882 57.964787) (xy 63.280143 57.931301) (xy 63.307203 57.928469) (xy 67.075 57.95) (xy 67.298406 51.024409) + (xy 67.320242 50.95804) (xy 67.335149 50.940241) (xy 69.512892 48.786695) (xy 69.5744 48.753554) + (xy 69.602178 48.750884) + ) + ) + ) + (zone + (net 39) + (net_name "TPGND") + (layer "B.Cu") + (uuid "e48997d1-79f9-4b44-ad49-14c51dc4659c") + (hatch edge 0.5) + (priority 1) + (connect_pads yes + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 39.200147 32.303808) (xy 138.375147 31.303808) (xy 191.050147 31.203808) (xy 191.375147 146.228808) + (xy 103.425147 146.678808) (xy 38.650147 146.678808) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.92188 116.549834) (xy 95.083439 116.55181) (xy 95.095653 116.552563) (xy 95.41755 116.588436) + (xy 95.431244 116.590746) (xy 95.746281 116.662279) (xy 95.759652 116.666116) (xy 96.064691 116.772502) + (xy 96.077539 116.77781) (xy 96.368715 116.917698) (xy 96.380902 116.924419) (xy 96.467661 116.978832) + (xy 96.654574 117.096058) (xy 96.665928 117.1041) (xy 96.918649 117.30533) (xy 96.929021 117.314587) + (xy 97.043563 117.42898) (xy 97.157593 117.542862) (xy 97.166873 117.553233) (xy 97.368428 117.805686) + (xy 97.376487 117.817033) (xy 97.54848 118.090478) (xy 97.555217 118.102655) (xy 97.695485 118.393648) + (xy 97.700815 118.406504) (xy 97.807592 118.711385) (xy 97.811448 118.724758) (xy 97.883387 119.039684) + (xy 97.88572 119.053404) (xy 97.922012 119.375254) (xy 97.922781 119.387454) (xy 97.924988 119.548943) + (xy 97.925 119.550637) (xy 97.925 135.583312) (xy 97.927409 135.681562) (xy 97.92741 135.681573) + (xy 97.965745 135.874291) (xy 97.965746 135.874293) (xy 97.965747 135.874296) (xy 98.040949 136.055845) + (xy 98.040951 136.055849) (xy 98.040954 136.055854) (xy 98.149141 136.217762) (xy 98.150124 136.219233) + (xy 98.289076 136.358184) (xy 98.452466 136.467357) (xy 98.452472 136.467359) (xy 98.452473 136.46736) + (xy 98.577751 136.519251) (xy 98.632155 136.563091) (xy 98.65422 136.629385) (xy 98.636941 136.697085) + (xy 98.585804 136.744696) (xy 98.530299 136.757812) (xy 97.825097 136.757812) (xy 97.758058 136.738127) + (xy 97.737416 136.721493) (xy 91.436819 130.420896) (xy 91.403334 130.359573) (xy 91.4005 130.333215) + (xy 91.4005 127.772372) (xy 91.400501 127.772359) (xy 91.400501 127.604256) (xy 91.394205 127.580759) + (xy 91.359577 127.451528) (xy 91.33907 127.416009) (xy 91.280524 127.314602) (xy 91.280518 127.314594) + (xy 90.33634 126.370417) (xy 90.316633 126.344735) (xy 90.28052 126.282184) (xy 90.280518 126.282182) + (xy 86.16648 122.168145) (xy 86.166478 122.168142) (xy 86.047607 122.049271) (xy 86.047599 122.049265) + (xy 85.921965 121.976731) (xy 85.921962 121.97673) (xy 85.910675 121.970213) (xy 85.757947 121.929289) + (xy 85.757944 121.929289) (xy 85.741519 121.929289) (xy 85.67448 121.909604) (xy 85.628725 121.8568) + (xy 85.625113 121.847603) (xy 85.624411 121.846098) (xy 85.62441 121.846093) (xy 85.624406 121.846087) + (xy 85.624405 121.846084) (xy 85.535377 121.701749) (xy 85.535376 121.701747) (xy 85.535375 121.701746) + (xy 85.415451 121.581822) (xy 85.415448 121.58182) (xy 85.415447 121.581819) (xy 85.271112 121.492791) + (xy 85.271106 121.492788) (xy 85.271104 121.492787) (xy 85.222297 121.476614) (xy 85.110116 121.439441) + (xy 85.010753 121.42929) (xy 84.464069 121.42929) (xy 84.464051 121.429291) (xy 84.364699 121.43944) + (xy 84.364696 121.439441) (xy 84.203712 121.492786) (xy 84.203701 121.492791) (xy 84.059366 121.581819) + (xy 84.059362 121.581822) (xy 83.939439 121.701745) (xy 83.939436 121.701749) (xy 83.850408 121.846084) + (xy 83.850403 121.846095) (xy 83.797058 122.00708) (xy 83.786907 122.106437) (xy 83.786907 122.953127) + (xy 83.786908 122.953145) (xy 83.797057 123.052497) (xy 83.797058 123.0525) (xy 83.850403 123.213484) + (xy 83.850408 123.213495) (xy 83.939436 123.35783) (xy 83.939439 123.357834) (xy 84.059362 123.477757) + (xy 84.059366 123.47776) (xy 84.203701 123.566788) (xy 84.203704 123.566789) (xy 84.20371 123.566793) + (xy 84.364699 123.620139) (xy 84.464062 123.63029) (xy 85.010751 123.630289) (xy 85.010759 123.630288) + (xy 85.010762 123.630288) (xy 85.065167 123.62473) (xy 85.110115 123.620139) (xy 85.271104 123.566793) + (xy 85.415451 123.477758) (xy 85.508858 123.38435) (xy 85.570177 123.350868) (xy 85.639869 123.355852) + (xy 85.684217 123.384353) (xy 88.225144 125.92528) (xy 88.258629 125.986603) (xy 88.253645 126.056295) + (xy 88.211773 126.112228) (xy 88.175784 126.130891) (xy 88.152553 126.138439) (xy 87.998211 126.21708) + (xy 87.918256 126.275171) (xy 87.858072 126.318898) (xy 87.85807 126.3189) (xy 87.858069 126.3189) + (xy 87.735588 126.441381) (xy 87.735588 126.441382) (xy 87.735586 126.441384) (xy 87.702926 126.486336) + (xy 87.633768 126.581523) (xy 87.555128 126.735864) (xy 87.501597 126.900614) (xy 87.4745 127.071701) + (xy 87.4745 127.244922) (xy 87.496803 127.385743) (xy 87.501598 127.416013) (xy 87.555127 127.580757) + (xy 87.633768 127.7351) (xy 87.735586 127.87524) (xy 87.858072 127.997726) (xy 87.998212 128.099544) + (xy 88.152555 128.178185) (xy 88.317299 128.231714) (xy 88.488389 128.258812) (xy 88.48839 128.258812) + (xy 88.66161 128.258812) (xy 88.661611 128.258812) (xy 88.832701 128.231714) (xy 88.997445 128.178185) + (xy 89.151788 128.099544) (xy 89.291928 127.997726) (xy 89.414414 127.87524) (xy 89.516232 127.7351) + (xy 89.594873 127.580757) (xy 89.594876 127.580747) (xy 89.596734 127.576264) (xy 89.598023 127.576798) + (xy 89.633906 127.524319) (xy 89.698265 127.49712) (xy 89.767111 127.509032) (xy 89.800083 127.53263) + (xy 90.163181 127.895728) (xy 90.196666 127.957051) (xy 90.1995 127.983409) (xy 90.1995 130.546642) + (xy 90.199499 130.54666) (xy 90.199499 130.712366) (xy 90.199498 130.712366) (xy 90.240423 130.865097) + (xy 90.269034 130.914653) (xy 90.269038 130.914659) (xy 90.294241 130.958312) (xy 90.319479 131.002026) + (xy 90.319481 131.002029) (xy 90.438349 131.120897) (xy 90.438355 131.120902) (xy 97.038584 137.721131) + (xy 97.072069 137.782454) (xy 97.067085 137.852146) (xy 97.025213 137.908079) (xy 96.959749 137.932496) + (xy 96.950903 137.932812) (xy 96.800098 137.932812) (xy 96.733059 137.913127) (xy 96.712417 137.896493) + (xy 89.594946 130.779023) (xy 89.561461 130.7177) (xy 89.560317 130.711743) (xy 89.560108 130.710493) + (xy 89.560108 130.710491) (xy 89.499063 130.469431) (xy 89.399173 130.241705) (xy 89.370653 130.198052) + (xy 89.263166 130.033529) (xy 89.22298 129.989876) (xy 89.094744 129.850574) (xy 88.898509 129.697838) + (xy 88.898507 129.697837) (xy 88.898506 129.697836) (xy 88.679811 129.579484) (xy 88.679802 129.579481) + (xy 88.444616 129.498741) (xy 88.199335 129.457812) (xy 87.950665 129.457812) (xy 87.705383 129.498741) + (xy 87.470197 129.579481) (xy 87.470188 129.579484) (xy 87.251493 129.697836) (xy 87.055257 129.850573) + (xy 86.886833 130.033529) (xy 86.750826 130.241705) (xy 86.650936 130.46943) (xy 86.589892 130.710487) + (xy 86.58989 130.710499) (xy 86.569357 130.958306) (xy 86.569357 130.958317) (xy 86.58989 131.206124) + (xy 86.589892 131.206136) (xy 86.650936 131.447193) (xy 86.750826 131.674918) (xy 86.886833 131.883094) + (xy 86.919245 131.918303) (xy 87.055256 132.06605) (xy 87.251491 132.218786) (xy 87.47019 132.33714) + (xy 87.705386 132.417883) (xy 87.950665 132.458812) (xy 88.199335 132.458812) (xy 88.444614 132.417883) + (xy 88.67981 132.33714) (xy 88.898509 132.218786) (xy 89.058244 132.094458) (xy 89.123235 132.068817) + (xy 89.191775 132.082383) (xy 89.222085 132.104632) (xy 96.089083 138.971631) (xy 96.122568 139.032954) + (xy 96.117584 139.102646) (xy 96.075712 139.158579) (xy 96.010248 139.182996) (xy 96.001402 139.183312) + (xy 50.275882 139.183312) (xy 50.274144 139.1833) (xy 50.112743 139.181037) (xy 50.100597 139.180269) + (xy 49.779007 139.144034) (xy 49.765299 139.141705) (xy 49.450632 139.069885) (xy 49.43727 139.066036) + (xy 49.132612 138.959432) (xy 49.119764 138.95411) (xy 48.828972 138.814072) (xy 48.816803 138.807346) + (xy 48.543511 138.635627) (xy 48.532169 138.62758) (xy 48.279823 138.42634) (xy 48.269455 138.417074) + (xy 48.041233 138.188853) (xy 48.031967 138.178485) (xy 47.830726 137.926139) (xy 47.822679 137.914798) + (xy 47.822335 137.914251) (xy 47.70099 137.721131) (xy 47.650959 137.641507) (xy 47.644233 137.629337) + (xy 47.552562 137.438984) (xy 47.504185 137.33853) (xy 47.498871 137.3257) (xy 47.392268 137.021048) + (xy 47.388419 137.007688) (xy 47.316592 136.692997) (xy 47.314268 136.67932) (xy 47.278031 136.357717) + (xy 47.277263 136.345562) (xy 47.275012 136.184172) (xy 47.275 136.182443) (xy 47.275 135.173053) + (xy 49.5745 135.173053) (xy 49.599446 135.362527) (xy 49.604452 135.40055) (xy 49.604453 135.400552) + (xy 49.663842 135.622199) (xy 49.75165 135.834188) (xy 49.751657 135.834202) (xy 49.866392 136.032929) + (xy 50.006081 136.214973) (xy 50.006089 136.214982) (xy 50.16833 136.377223) (xy 50.168338 136.37723) + (xy 50.350382 136.516919) (xy 50.350385 136.51692) (xy 50.350388 136.516923) (xy 50.549112 136.631656) + (xy 50.549117 136.631658) (xy 50.549123 136.631661) (xy 50.64048 136.669502) (xy 50.761113 136.71947) + (xy 50.982762 136.77886) (xy 51.210266 136.808812) (xy 51.210273 136.808812) (xy 51.439727 136.808812) + (xy 51.439734 136.808812) (xy 51.667238 136.77886) (xy 51.888887 136.71947) (xy 52.100888 136.631656) + (xy 52.299612 136.516923) (xy 52.481661 136.377231) (xy 52.481665 136.377226) (xy 52.48167 136.377223) + (xy 52.643911 136.214982) (xy 52.643914 136.214977) (xy 52.643919 136.214973) (xy 52.783611 136.032924) + (xy 52.898344 135.8342) (xy 52.986158 135.622199) (xy 53.045548 135.40055) (xy 53.0755 135.173046) + (xy 53.0755 134.943578) (xy 53.045548 134.716074) (xy 52.986158 134.494425) (xy 52.898344 134.282424) + (xy 52.783611 134.0837) (xy 52.783608 134.083697) (xy 52.783607 134.083694) (xy 52.643918 133.90165) + (xy 52.643911 133.901642) (xy 52.48167 133.739401) (xy 52.481661 133.739393) (xy 52.299617 133.599704) + (xy 52.10089 133.484969) (xy 52.100876 133.484962) (xy 51.888887 133.397154) (xy 51.667238 133.337764) + (xy 51.629215 133.332758) (xy 51.439741 133.307812) (xy 51.439734 133.307812) (xy 51.210266 133.307812) + (xy 51.210258 133.307812) (xy 50.993715 133.336321) (xy 50.982762 133.337764) (xy 50.889076 133.362866) + (xy 50.761112 133.397154) (xy 50.549123 133.484962) (xy 50.549109 133.484969) (xy 50.350382 133.599704) + (xy 50.168338 133.739393) (xy 50.006081 133.90165) (xy 49.866392 134.083694) (xy 49.751657 134.282421) + (xy 49.75165 134.282435) (xy 49.663842 134.494424) (xy 49.604453 134.716071) (xy 49.604451 134.716082) + (xy 49.5745 134.94357) (xy 49.5745 135.173053) (xy 47.275 135.173053) (xy 47.275 133.014664) (xy 60.777515 133.014664) + (xy 60.798048 133.262471) (xy 60.79805 133.262483) (xy 60.859094 133.50354) (xy 60.958984 133.731265) + (xy 61.094991 133.939441) (xy 61.094994 133.939444) (xy 61.263414 134.122397) (xy 61.459649 134.275133) + (xy 61.678348 134.393487) (xy 61.913544 134.47423) (xy 62.158823 134.515159) (xy 62.407493 134.515159) + (xy 62.652772 134.47423) (xy 62.887968 134.393487) (xy 63.106667 134.275133) (xy 63.302902 134.122397) + (xy 63.471322 133.939444) (xy 63.607331 133.731266) (xy 63.707221 133.50354) (xy 63.768266 133.26248) + (xy 63.768267 133.262471) (xy 63.785184 133.058317) (xy 81.569357 133.058317) (xy 81.58989 133.306124) + (xy 81.589892 133.306136) (xy 81.650936 133.547193) (xy 81.750826 133.774918) (xy 81.886833 133.983094) + (xy 81.886836 133.983097) (xy 82.055256 134.16605) (xy 82.251491 134.318786) (xy 82.47019 134.43714) + (xy 82.705386 134.517883) (xy 82.950665 134.558812) (xy 83.199335 134.558812) (xy 83.444614 134.517883) + (xy 83.67981 134.43714) (xy 83.898509 134.318786) (xy 84.094744 134.16605) (xy 84.263164 133.983097) + (xy 84.399173 133.774919) (xy 84.499063 133.547193) (xy 84.560108 133.306133) (xy 84.580643 133.058312) + (xy 84.577026 133.014664) (xy 84.560109 132.810499) (xy 84.560107 132.810487) (xy 84.499063 132.56943) + (xy 84.399173 132.341705) (xy 84.263166 132.133529) (xy 84.22298 132.089876) (xy 84.094744 131.950574) + (xy 83.898509 131.797838) (xy 83.898507 131.797837) (xy 83.898506 131.797836) (xy 83.679811 131.679484) + (xy 83.679802 131.679481) (xy 83.444616 131.598741) (xy 83.199335 131.557812) (xy 82.950665 131.557812) + (xy 82.705383 131.598741) (xy 82.470197 131.679481) (xy 82.470188 131.679484) (xy 82.251493 131.797836) + (xy 82.055257 131.950573) (xy 81.886833 132.133529) (xy 81.750826 132.341705) (xy 81.650936 132.56943) + (xy 81.589892 132.810487) (xy 81.58989 132.810499) (xy 81.569357 133.058306) (xy 81.569357 133.058317) + (xy 63.785184 133.058317) (xy 63.788801 133.014664) (xy 63.788801 133.014653) (xy 63.768267 132.766846) + (xy 63.768265 132.766834) (xy 63.707221 132.525777) (xy 63.607331 132.298052) (xy 63.471324 132.089876) + (xy 63.409205 132.022397) (xy 63.302902 131.906921) (xy 63.106667 131.754185) (xy 63.106665 131.754184) + (xy 63.106664 131.754183) (xy 62.887969 131.635831) (xy 62.88796 131.635828) (xy 62.652774 131.555088) + (xy 62.407493 131.514159) (xy 62.158823 131.514159) (xy 61.913541 131.555088) (xy 61.678355 131.635828) + (xy 61.678346 131.635831) (xy 61.459651 131.754183) (xy 61.263415 131.90692) (xy 61.094991 132.089876) + (xy 60.958984 132.298052) (xy 60.859094 132.525777) (xy 60.79805 132.766834) (xy 60.798048 132.766846) + (xy 60.777515 133.014653) (xy 60.777515 133.014664) (xy 47.275 133.014664) (xy 47.275 130.914659) + (xy 55.777515 130.914659) (xy 55.798048 131.162471) (xy 55.79805 131.162483) (xy 55.859094 131.40354) + (xy 55.958984 131.631265) (xy 56.094991 131.839441) (xy 56.094994 131.839444) (xy 56.263414 132.022397) + (xy 56.459649 132.175133) (xy 56.678348 132.293487) (xy 56.913544 132.37423) (xy 57.158823 132.415159) + (xy 57.407493 132.415159) (xy 57.652772 132.37423) (xy 57.887968 132.293487) (xy 58.106667 132.175133) + (xy 58.302902 132.022397) (xy 58.471322 131.839444) (xy 58.607331 131.631266) (xy 58.707221 131.40354) + (xy 58.768266 131.16248) (xy 58.788801 130.914659) (xy 65.777516 130.914659) (xy 65.798049 131.162471) + (xy 65.798051 131.162483) (xy 65.859095 131.40354) (xy 65.958985 131.631265) (xy 66.094992 131.839441) + (xy 66.094995 131.839444) (xy 66.263415 132.022397) (xy 66.45965 132.175133) (xy 66.678349 132.293487) + (xy 66.913545 132.37423) (xy 67.158824 132.415159) (xy 67.407494 132.415159) (xy 67.652773 132.37423) + (xy 67.887969 132.293487) (xy 68.106668 132.175133) (xy 68.302903 132.022397) (xy 68.471323 131.839444) + (xy 68.607332 131.631266) (xy 68.707222 131.40354) (xy 68.768267 131.16248) (xy 68.785184 130.958317) + (xy 76.569357 130.958317) (xy 76.58989 131.206124) (xy 76.589892 131.206136) (xy 76.650936 131.447193) + (xy 76.750826 131.674918) (xy 76.886833 131.883094) (xy 76.919245 131.918303) (xy 77.055256 132.06605) + (xy 77.251491 132.218786) (xy 77.47019 132.33714) (xy 77.705386 132.417883) (xy 77.950665 132.458812) + (xy 78.199335 132.458812) (xy 78.444614 132.417883) (xy 78.67981 132.33714) (xy 78.898509 132.218786) + (xy 79.094744 132.06605) (xy 79.263164 131.883097) (xy 79.399173 131.674919) (xy 79.499063 131.447193) + (xy 79.560108 131.206133) (xy 79.563726 131.162471) (xy 79.580643 130.958317) (xy 79.580643 130.958306) + (xy 79.560109 130.710499) (xy 79.560107 130.710487) (xy 79.499063 130.46943) (xy 79.399173 130.241705) + (xy 79.263166 130.033529) (xy 79.22298 129.989876) (xy 79.094744 129.850574) (xy 78.898509 129.697838) + (xy 78.898507 129.697837) (xy 78.898506 129.697836) (xy 78.679811 129.579484) (xy 78.679802 129.579481) + (xy 78.444616 129.498741) (xy 78.199335 129.457812) (xy 77.950665 129.457812) (xy 77.705383 129.498741) + (xy 77.470197 129.579481) (xy 77.470188 129.579484) (xy 77.251493 129.697836) (xy 77.055257 129.850573) + (xy 76.886833 130.033529) (xy 76.750826 130.241705) (xy 76.650936 130.46943) (xy 76.589892 130.710487) + (xy 76.58989 130.710499) (xy 76.569357 130.958306) (xy 76.569357 130.958317) (xy 68.785184 130.958317) + (xy 68.788802 130.914659) (xy 68.784695 130.865097) (xy 68.768268 130.666846) (xy 68.768266 130.666834) + (xy 68.707222 130.425777) (xy 68.607332 130.198052) (xy 68.471325 129.989876) (xy 68.343087 129.850573) + (xy 68.302903 129.806921) (xy 68.106668 129.654185) (xy 68.106666 129.654184) (xy 68.106665 129.654183) + (xy 67.88797 129.535831) (xy 67.887961 129.535828) (xy 67.652775 129.455088) (xy 67.407494 129.414159) + (xy 67.158824 129.414159) (xy 66.913542 129.455088) (xy 66.678356 129.535828) (xy 66.678347 129.535831) + (xy 66.459652 129.654183) (xy 66.263416 129.80692) (xy 66.094992 129.989876) (xy 65.958985 130.198052) + (xy 65.859095 130.425777) (xy 65.798051 130.666834) (xy 65.798049 130.666846) (xy 65.777516 130.914653) + (xy 65.777516 130.914659) (xy 58.788801 130.914659) (xy 58.784694 130.865097) (xy 58.768267 130.666846) + (xy 58.768265 130.666834) (xy 58.707221 130.425777) (xy 58.607331 130.198052) (xy 58.471324 129.989876) + (xy 58.343086 129.850573) (xy 58.302902 129.806921) (xy 58.106667 129.654185) (xy 58.106665 129.654184) + (xy 58.106664 129.654183) (xy 57.887969 129.535831) (xy 57.88796 129.535828) (xy 57.652774 129.455088) + (xy 57.407493 129.414159) (xy 57.158823 129.414159) (xy 56.913541 129.455088) (xy 56.678355 129.535828) + (xy 56.678346 129.535831) (xy 56.459651 129.654183) (xy 56.263415 129.80692) (xy 56.094991 129.989876) + (xy 55.958984 130.198052) (xy 55.859094 130.425777) (xy 55.79805 130.666834) (xy 55.798048 130.666846) + (xy 55.777515 130.914653) (xy 55.777515 130.914659) (xy 47.275 130.914659) (xy 47.275 127.201269) + (xy 55.682658 127.201269) (xy 55.696103 127.286162) (xy 55.709756 127.37236) (xy 55.763285 127.537104) + (xy 55.841926 127.691447) (xy 55.943744 127.831587) (xy 56.06623 127.954073) (xy 56.20637 128.055891) + (xy 56.360713 128.134532) (xy 56.525457 128.188061) (xy 56.696547 128.215159) (xy 56.696548 128.215159) + (xy 56.869768 128.215159) (xy 56.869769 128.215159) (xy 57.040859 128.188061) (xy 57.205603 128.134532) + (xy 57.359946 128.055891) (xy 57.500086 127.954073) (xy 57.622572 127.831587) (xy 57.72439 127.691447) + (xy 57.803031 127.537104) (xy 57.85656 127.37236) (xy 57.877126 127.242509) (xy 60.332658 127.242509) + (xy 60.342149 127.314594) (xy 60.366032 127.495999) (xy 60.418402 127.691447) (xy 60.432208 127.742971) + (xy 60.432211 127.742981) (xy 60.530052 127.97919) (xy 60.530057 127.979201) (xy 60.657892 128.200616) + (xy 60.657903 128.200632) (xy 60.813546 128.40347) (xy 60.813552 128.403477) (xy 60.994339 128.584264) + (xy 60.994346 128.58427) (xy 61.051236 128.627923) (xy 61.197193 128.73992) (xy 61.1972 128.739924) + (xy 61.418615 128.867759) (xy 61.41862 128.867761) (xy 61.418623 128.867763) (xy 61.654845 128.965609) + (xy 61.901818 129.031785) (xy 62.155315 129.065159) (xy 62.155322 129.065159) (xy 62.410994 129.065159) + (xy 62.411001 129.065159) (xy 62.664498 129.031785) (xy 62.911471 128.965609) (xy 63.147693 128.867763) + (xy 63.369123 128.73992) (xy 63.571971 128.584269) (xy 63.752768 128.403472) (xy 63.908419 128.200624) + (xy 64.036262 127.979194) (xy 64.134108 127.742972) (xy 64.200284 127.495999) (xy 64.233658 127.242502) + (xy 64.233658 127.201269) (xy 66.682658 127.201269) (xy 66.696103 127.286162) (xy 66.709756 127.37236) + (xy 66.763285 127.537104) (xy 66.841926 127.691447) (xy 66.943744 127.831587) (xy 67.06623 127.954073) + (xy 67.20637 128.055891) (xy 67.360713 128.134532) (xy 67.525457 128.188061) (xy 67.696547 128.215159) + (xy 67.696548 128.215159) (xy 67.869768 128.215159) (xy 67.869769 128.215159) (xy 68.040859 128.188061) + (xy 68.205603 128.134532) (xy 68.359946 128.055891) (xy 68.500086 127.954073) (xy 68.622572 127.831587) + (xy 68.72439 127.691447) (xy 68.803031 127.537104) (xy 68.85656 127.37236) (xy 68.876744 127.244922) + (xy 76.4745 127.244922) (xy 76.496803 127.385743) (xy 76.501598 127.416013) (xy 76.555127 127.580757) + (xy 76.633768 127.7351) (xy 76.735586 127.87524) (xy 76.858072 127.997726) (xy 76.998212 128.099544) + (xy 77.152555 128.178185) (xy 77.317299 128.231714) (xy 77.488389 128.258812) (xy 77.48839 128.258812) + (xy 77.66161 128.258812) (xy 77.661611 128.258812) (xy 77.832701 128.231714) (xy 77.997445 128.178185) + (xy 78.151788 128.099544) (xy 78.291928 127.997726) (xy 78.414414 127.87524) (xy 78.516232 127.7351) + (xy 78.594873 127.580757) (xy 78.648402 127.416013) (xy 78.668968 127.286162) (xy 81.1245 127.286162) + (xy 81.135849 127.37236) (xy 81.157874 127.539652) (xy 81.220231 127.772372) (xy 81.22405 127.786624) + (xy 81.224053 127.786634) (xy 81.321894 128.022843) (xy 81.321899 128.022854) (xy 81.449734 128.244269) + (xy 81.449745 128.244285) (xy 81.605388 128.447123) (xy 81.605394 128.44713) (xy 81.786181 128.627917) + (xy 81.786187 128.627922) (xy 81.989035 128.783573) (xy 81.989042 128.783577) (xy 82.210457 128.911412) + (xy 82.210462 128.911414) (xy 82.210465 128.911416) (xy 82.446687 129.009262) (xy 82.69366 129.075438) + (xy 82.947157 129.108812) (xy 82.947164 129.108812) (xy 83.202836 129.108812) (xy 83.202843 129.108812) + (xy 83.45634 129.075438) (xy 83.703313 129.009262) (xy 83.939535 128.911416) (xy 84.160965 128.783573) + (xy 84.363813 128.627922) (xy 84.54461 128.447125) (xy 84.700261 128.244277) (xy 84.828104 128.022847) + (xy 84.92595 127.786625) (xy 84.992126 127.539652) (xy 85.0255 127.286155) (xy 85.0255 127.030469) + (xy 84.992126 126.776972) (xy 84.92595 126.529999) (xy 84.828104 126.293777) (xy 84.828102 126.293774) + (xy 84.8281 126.293769) (xy 84.700265 126.072354) (xy 84.700261 126.072347) (xy 84.54461 125.869499) + (xy 84.544605 125.869493) (xy 84.363818 125.688706) (xy 84.363811 125.6887) (xy 84.160973 125.533057) + (xy 84.160971 125.533055) (xy 84.160965 125.533051) (xy 84.16096 125.533048) (xy 84.160957 125.533046) + (xy 83.939542 125.405211) (xy 83.939531 125.405206) (xy 83.703322 125.307365) (xy 83.703315 125.307363) + (xy 83.703313 125.307362) (xy 83.45634 125.241186) (xy 83.400007 125.233769) (xy 83.20285 125.207812) + (xy 83.202843 125.207812) (xy 82.947157 125.207812) (xy 82.947149 125.207812) (xy 82.721826 125.237477) + (xy 82.69366 125.241186) (xy 82.609603 125.263709) (xy 82.446687 125.307362) (xy 82.446677 125.307365) + (xy 82.210468 125.405206) (xy 82.210457 125.405211) (xy 81.989042 125.533046) (xy 81.989026 125.533057) + (xy 81.786188 125.6887) (xy 81.786181 125.688706) (xy 81.605394 125.869493) (xy 81.605388 125.8695) + (xy 81.449745 126.072338) (xy 81.449734 126.072354) (xy 81.321899 126.293769) (xy 81.321894 126.29378) + (xy 81.224053 126.529989) (xy 81.22405 126.529999) (xy 81.169571 126.73332) (xy 81.157874 126.776973) + (xy 81.1245 127.030461) (xy 81.1245 127.286162) (xy 78.668968 127.286162) (xy 78.6755 127.244923) + (xy 78.6755 127.071701) (xy 78.648402 126.900611) (xy 78.594873 126.735867) (xy 78.516232 126.581524) + (xy 78.414414 126.441384) (xy 78.291928 126.318898) (xy 78.151788 126.21708) (xy 77.997445 126.138439) + (xy 77.832701 126.08491) (xy 77.832699 126.084909) (xy 77.832698 126.084909) (xy 77.701271 126.064093) + (xy 77.661611 126.057812) (xy 77.488389 126.057812) (xy 77.448728 126.064093) (xy 77.317302 126.084909) + (xy 77.152552 126.13844) (xy 76.998211 126.21708) (xy 76.918256 126.275171) (xy 76.858072 126.318898) + (xy 76.85807 126.3189) (xy 76.858069 126.3189) (xy 76.735588 126.441381) (xy 76.735588 126.441382) + (xy 76.735586 126.441384) (xy 76.702926 126.486336) (xy 76.633768 126.581523) (xy 76.555128 126.735864) + (xy 76.501597 126.900614) (xy 76.4745 127.071701) (xy 76.4745 127.244922) (xy 68.876744 127.244922) + (xy 68.883658 127.20127) (xy 68.883658 127.028048) (xy 68.85656 126.856958) (xy 68.803031 126.692214) + (xy 68.72439 126.537871) (xy 68.622572 126.397731) (xy 68.500086 126.275245) (xy 68.359946 126.173427) + (xy 68.205603 126.094786) (xy 68.040859 126.041257) (xy 68.040857 126.041256) (xy 68.040856 126.041256) + (xy 67.909429 126.02044) (xy 67.869769 126.014159) (xy 67.696547 126.014159) (xy 67.656886 126.02044) + (xy 67.52546 126.041256) (xy 67.36071 126.094787) (xy 67.206369 126.173427) (xy 67.146287 126.21708) + (xy 67.06623 126.275245) (xy 67.066228 126.275247) (xy 67.066227 126.275247) (xy 66.943746 126.397728) + (xy 66.943746 126.397729) (xy 66.943744 126.397731) (xy 66.91203 126.441381) (xy 66.841926 126.53787) + (xy 66.763286 126.692211) (xy 66.709755 126.856961) (xy 66.682658 127.028048) (xy 66.682658 127.201269) + (xy 64.233658 127.201269) (xy 64.233658 126.986816) (xy 64.200284 126.733319) (xy 64.134108 126.486346) + (xy 64.036262 126.250124) (xy 64.03626 126.250121) (xy 64.036258 126.250116) (xy 63.908423 126.028701) + (xy 63.908419 126.028694) (xy 63.752768 125.825846) (xy 63.752763 125.82584) (xy 63.571976 125.645053) + (xy 63.571969 125.645047) (xy 63.369131 125.489404) (xy 63.369129 125.489402) (xy 63.369123 125.489398) + (xy 63.369118 125.489395) (xy 63.369115 125.489393) (xy 63.1477 125.361558) (xy 63.147689 125.361553) + (xy 62.91148 125.263712) (xy 62.911473 125.26371) (xy 62.911471 125.263709) (xy 62.664498 125.197533) + (xy 62.608165 125.190116) (xy 62.411008 125.164159) (xy 62.411001 125.164159) (xy 62.155315 125.164159) + (xy 62.155307 125.164159) (xy 61.929984 125.193824) (xy 61.901818 125.197533) (xy 61.654845 125.263709) + (xy 61.654835 125.263712) (xy 61.418626 125.361553) (xy 61.418615 125.361558) (xy 61.1972 125.489393) + (xy 61.197184 125.489404) (xy 60.994346 125.645047) (xy 60.994339 125.645053) (xy 60.813552 125.82584) + (xy 60.813546 125.825847) (xy 60.657903 126.028685) (xy 60.657892 126.028701) (xy 60.530057 126.250116) + (xy 60.530052 126.250127) (xy 60.432211 126.486336) (xy 60.432208 126.486346) (xy 60.406706 126.581523) + (xy 60.366032 126.73332) (xy 60.332658 126.986808) (xy 60.332658 127.242509) (xy 57.877126 127.242509) + (xy 57.883658 127.20127) (xy 57.883658 127.028048) (xy 57.85656 126.856958) (xy 57.803031 126.692214) + (xy 57.72439 126.537871) (xy 57.622572 126.397731) (xy 57.500086 126.275245) (xy 57.359946 126.173427) + (xy 57.205603 126.094786) (xy 57.040859 126.041257) (xy 57.040857 126.041256) (xy 57.040856 126.041256) + (xy 56.909429 126.02044) (xy 56.869769 126.014159) (xy 56.696547 126.014159) (xy 56.656886 126.02044) + (xy 56.52546 126.041256) (xy 56.36071 126.094787) (xy 56.206369 126.173427) (xy 56.146287 126.21708) + (xy 56.06623 126.275245) (xy 56.066228 126.275247) (xy 56.066227 126.275247) (xy 55.943746 126.397728) + (xy 55.943746 126.397729) (xy 55.943744 126.397731) (xy 55.91203 126.441381) (xy 55.841926 126.53787) + (xy 55.763286 126.692211) (xy 55.709755 126.856961) (xy 55.682658 127.028048) (xy 55.682658 127.201269) + (xy 47.275 127.201269) (xy 47.275 122.727996) (xy 59.742658 122.727996) (xy 59.742659 122.728014) + (xy 59.752808 122.827366) (xy 59.752809 122.827369) (xy 59.806154 122.988353) (xy 59.806159 122.988364) + (xy 59.895187 123.132699) (xy 59.89519 123.132703) (xy 60.015113 123.252626) (xy 60.015117 123.252629) + (xy 60.159452 123.341657) (xy 60.159455 123.341658) (xy 60.159461 123.341662) (xy 60.32045 123.395008) + (xy 60.419813 123.405159) (xy 60.966502 123.405158) (xy 60.96651 123.405157) (xy 60.966513 123.405157) + (xy 61.020918 123.399599) (xy 61.065866 123.395008) (xy 61.226855 123.341662) (xy 61.371202 123.252627) + (xy 61.491126 123.132703) (xy 61.580161 122.988356) (xy 61.633507 122.827367) (xy 61.643658 122.728004) + (xy 61.643658 122.727998) (xy 63.042658 122.727998) (xy 63.042659 122.728016) (xy 63.052808 122.827368) + (xy 63.052809 122.827371) (xy 63.106154 122.988355) (xy 63.106159 122.988366) (xy 63.195187 123.132701) + (xy 63.19519 123.132705) (xy 63.315113 123.252628) (xy 63.315117 123.252631) (xy 63.459452 123.341659) + (xy 63.459455 123.34166) (xy 63.459461 123.341664) (xy 63.62045 123.39501) (xy 63.719813 123.405161) + (xy 64.266502 123.40516) (xy 64.26651 123.405159) (xy 64.266513 123.405159) (xy 64.320918 123.399601) + (xy 64.365866 123.39501) (xy 64.526855 123.341664) (xy 64.671202 123.252629) (xy 64.791126 123.132705) + (xy 64.880161 122.988358) (xy 64.891836 122.953125) (xy 80.486909 122.953125) (xy 80.48691 122.953143) + (xy 80.497059 123.052495) (xy 80.49706 123.052498) (xy 80.550405 123.213482) (xy 80.55041 123.213493) + (xy 80.639438 123.357828) (xy 80.639441 123.357832) (xy 80.759364 123.477755) (xy 80.759368 123.477758) + (xy 80.903703 123.566786) (xy 80.903706 123.566787) (xy 80.903712 123.566791) (xy 81.064701 123.620137) + (xy 81.164064 123.630288) (xy 81.710753 123.630287) (xy 81.710761 123.630286) (xy 81.710764 123.630286) + (xy 81.765169 123.624728) (xy 81.810117 123.620137) (xy 81.971106 123.566791) (xy 82.115453 123.477756) + (xy 82.235377 123.357832) (xy 82.324412 123.213485) (xy 82.377758 123.052496) (xy 82.387909 122.953133) + (xy 82.387908 122.106444) (xy 82.377758 122.00708) (xy 82.324412 121.846091) (xy 82.324408 121.846084) + (xy 82.324407 121.846082) (xy 82.235379 121.701747) (xy 82.235378 121.701745) (xy 82.235377 121.701744) + (xy 82.115453 121.58182) (xy 82.115449 121.581817) (xy 81.971114 121.492789) (xy 81.971108 121.492786) + (xy 81.971106 121.492785) (xy 81.922305 121.476614) (xy 81.810118 121.439439) (xy 81.710755 121.429288) + (xy 81.164071 121.429288) (xy 81.164053 121.429289) (xy 81.064701 121.439438) (xy 81.064698 121.439439) + (xy 80.903714 121.492784) (xy 80.903703 121.492789) (xy 80.759368 121.581817) (xy 80.759364 121.58182) + (xy 80.639441 121.701743) (xy 80.639438 121.701747) (xy 80.55041 121.846082) (xy 80.550405 121.846093) + (xy 80.49706 122.007078) (xy 80.486909 122.106435) (xy 80.486909 122.953125) (xy 64.891836 122.953125) + (xy 64.933507 122.827369) (xy 64.943658 122.728006) (xy 64.943657 121.881317) (xy 64.933507 121.781953) + (xy 64.880161 121.620964) (xy 64.880157 121.620958) (xy 64.880156 121.620955) (xy 64.791128 121.47662) + (xy 64.791127 121.476618) (xy 64.791126 121.476617) (xy 64.671202 121.356693) (xy 64.671199 121.356691) + (xy 64.671198 121.35669) (xy 64.526863 121.267662) (xy 64.526857 121.267659) (xy 64.526855 121.267658) + (xy 64.526846 121.267655) (xy 64.365867 121.214312) (xy 64.266504 121.204161) (xy 63.71982 121.204161) + (xy 63.719802 121.204162) (xy 63.62045 121.214311) (xy 63.620447 121.214312) (xy 63.459463 121.267657) + (xy 63.459452 121.267662) (xy 63.315117 121.35669) (xy 63.315113 121.356693) (xy 63.19519 121.476616) + (xy 63.195187 121.47662) (xy 63.106159 121.620955) (xy 63.106154 121.620966) (xy 63.052809 121.781951) + (xy 63.042658 121.881308) (xy 63.042658 122.727998) (xy 61.643658 122.727998) (xy 61.643657 121.881315) + (xy 61.633507 121.781951) (xy 61.580161 121.620962) (xy 61.580157 121.620955) (xy 61.580156 121.620953) + (xy 61.491128 121.476618) (xy 61.491127 121.476616) (xy 61.491126 121.476615) (xy 61.371202 121.356691) + (xy 61.371198 121.356688) (xy 61.226863 121.26766) (xy 61.226857 121.267657) (xy 61.226855 121.267656) + (xy 61.226852 121.267655) (xy 61.065867 121.21431) (xy 60.966504 121.204159) (xy 60.41982 121.204159) + (xy 60.419802 121.20416) (xy 60.32045 121.214309) (xy 60.320447 121.21431) (xy 60.159463 121.267655) + (xy 60.159452 121.26766) (xy 60.015117 121.356688) (xy 60.015113 121.356691) (xy 59.89519 121.476614) + (xy 59.895187 121.476618) (xy 59.806159 121.620953) (xy 59.806154 121.620964) (xy 59.752809 121.781949) + (xy 59.742658 121.881306) (xy 59.742658 122.727996) (xy 47.275 122.727996) (xy 47.275 120.648053) + (xy 92.2245 120.648053) (xy 92.249446 120.837527) (xy 92.254452 120.87555) (xy 92.254453 120.875552) + (xy 92.313842 121.097199) (xy 92.40165 121.309188) (xy 92.401657 121.309202) (xy 92.516392 121.507929) + (xy 92.656081 121.689973) (xy 92.656089 121.689982) (xy 92.81833 121.852223) (xy 92.818338 121.85223) + (xy 92.818339 121.852231) (xy 92.856242 121.881315) (xy 93.000382 121.991919) (xy 93.000385 121.99192) + (xy 93.000388 121.991923) (xy 93.199112 122.106656) (xy 93.199117 122.106658) (xy 93.199123 122.106661) + (xy 93.29048 122.144502) (xy 93.411113 122.19447) (xy 93.632762 122.25386) (xy 93.860266 122.283812) + (xy 93.860273 122.283812) (xy 94.089727 122.283812) (xy 94.089734 122.283812) (xy 94.317238 122.25386) + (xy 94.538887 122.19447) (xy 94.750888 122.106656) (xy 94.949612 121.991923) (xy 95.131661 121.852231) + (xy 95.131665 121.852226) (xy 95.13167 121.852223) (xy 95.293911 121.689982) (xy 95.293914 121.689977) + (xy 95.293919 121.689973) (xy 95.433611 121.507924) (xy 95.548344 121.3092) (xy 95.636158 121.097199) + (xy 95.695548 120.87555) (xy 95.7255 120.648046) (xy 95.7255 120.418578) (xy 95.695548 120.191074) + (xy 95.636158 119.969425) (xy 95.548344 119.757424) (xy 95.433611 119.5587) (xy 95.433608 119.558697) + (xy 95.433607 119.558694) (xy 95.293918 119.37665) (xy 95.293911 119.376642) (xy 95.13167 119.214401) + (xy 95.131661 119.214393) (xy 94.949617 119.074704) (xy 94.75089 118.959969) (xy 94.750876 118.959962) + (xy 94.538887 118.872154) (xy 94.317238 118.812764) (xy 94.279215 118.807758) (xy 94.089741 118.782812) + (xy 94.089734 118.782812) (xy 93.860266 118.782812) (xy 93.860258 118.782812) (xy 93.651736 118.810266) + (xy 93.632762 118.812764) (xy 93.539076 118.837866) (xy 93.411112 118.872154) (xy 93.199123 118.959962) + (xy 93.199109 118.959969) (xy 93.000382 119.074704) (xy 92.818338 119.214393) (xy 92.656081 119.37665) + (xy 92.516392 119.558694) (xy 92.401657 119.757421) (xy 92.40165 119.757435) (xy 92.313842 119.969424) + (xy 92.254453 120.191071) (xy 92.254451 120.191082) (xy 92.2245 120.41857) (xy 92.2245 120.648053) + (xy 47.275 120.648053) (xy 47.275 119.609184) (xy 47.275012 119.607452) (xy 47.275817 119.549822) + (xy 47.277266 119.446179) (xy 47.278029 119.434076) (xy 47.314208 119.112706) (xy 47.316531 119.099029) + (xy 47.388236 118.784592) (xy 47.392068 118.771275) (xy 47.498508 118.466812) (xy 47.503809 118.454003) + (xy 47.643637 118.163369) (xy 47.650338 118.151232) (xy 47.8218 117.878065) (xy 47.829813 117.866758) + (xy 48.030766 117.614479) (xy 48.039992 117.604142) (xy 48.267911 117.375924) (xy 48.278238 117.366683) + (xy 48.530248 117.165403) (xy 48.541538 117.157379) (xy 48.814478 116.985558) (xy 48.826614 116.978836) + (xy 49.117068 116.838625) (xy 49.129874 116.833307) (xy 49.434178 116.726474) (xy 49.447499 116.72262) + (xy 49.761848 116.650501) (xy 49.775534 116.648159) (xy 50.096815 116.611564) (xy 50.108944 116.610782) + (xy 50.270346 116.608323) (xy 50.27183 116.608311) (xy 94.920236 116.549826) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 159.950992 34.448192) (xy 159.952596 34.448204) (xy 160.113994 34.450562) (xy 160.126135 34.451336) + (xy 160.447606 34.487738) (xy 160.461281 34.490069) (xy 160.742235 34.554348) (xy 160.775806 34.562029) + (xy 160.789161 34.565884) (xy 160.924459 34.613298) (xy 161.093644 34.672589) (xy 161.106476 34.677911) + (xy 161.397114 34.818028) (xy 161.409257 34.824745) (xy 161.682392 34.996516) (xy 161.693709 35.004551) + (xy 161.945898 35.205806) (xy 161.95626 35.215072) (xy 162.184331 35.443281) (xy 162.19359 35.453648) + (xy 162.394692 35.705954) (xy 162.402729 35.717287) (xy 162.574321 35.990505) (xy 162.581042 36.002672) + (xy 162.720978 36.29338) (xy 162.726296 36.306222) (xy 162.832822 36.610776) (xy 162.836668 36.624134) + (xy 162.908432 36.938676) (xy 162.91076 36.952379) (xy 162.946969 37.273858) (xy 162.947736 37.286006) + (xy 162.949988 37.447332) (xy 162.95 37.449063) (xy 162.95 39.251714) (xy 162.930315 39.318753) + (xy 162.877511 39.364508) (xy 162.808353 39.374452) (xy 162.744797 39.345427) (xy 162.722898 39.320605) + (xy 162.6466 39.206416) (xy 162.545487 39.105303) (xy 162.545456 39.105274) (xy 162.136819 38.696637) + (xy 162.103334 38.635314) (xy 162.1005 38.608956) (xy 162.1005 37.749869) (xy 162.1005 37.749862) + (xy 162.090417 37.636448) (xy 162.037237 37.450594) (xy 161.947734 37.279249) (xy 161.886652 37.204339) + (xy 161.825571 37.129428) (xy 161.7337 37.054518) (xy 161.675751 37.007266) (xy 161.661082 36.999604) + (xy 161.504405 36.917762) (xy 161.349845 36.873537) (xy 161.318552 36.864583) (xy 161.318551 36.864582) + (xy 161.318548 36.864582) (xy 161.229662 36.85668) (xy 161.205138 36.8545) (xy 160.194862 36.8545) + (xy 160.182599 36.85559) (xy 160.081451 36.864582) (xy 159.895594 36.917762) (xy 159.72425 37.007265) + (xy 159.574428 37.129428) (xy 159.452265 37.27925) (xy 159.430058 37.321762) (xy 159.38157 37.372069) + (xy 159.313582 37.388175) (xy 159.24768 37.364967) (xy 159.228924 37.348335) (xy 159.111784 37.221087) + (xy 159.111779 37.221083) (xy 159.111777 37.221081) (xy 158.928634 37.078535) (xy 158.928628 37.078531) + (xy 158.724504 36.968064) (xy 158.724495 36.968061) (xy 158.504984 36.892702) (xy 158.333282 36.86405) + (xy 158.276049 36.8545) (xy 158.043951 36.8545) (xy 157.998164 36.86214) (xy 157.815015 36.892702) + (xy 157.595504 36.968061) (xy 157.595495 36.968064) (xy 157.391371 37.078531) (xy 157.391365 37.078535) + (xy 157.208222 37.221081) (xy 157.208219 37.221084) (xy 157.051016 37.391852) (xy 156.993809 37.479416) + (xy 156.940662 37.524773) (xy 156.871431 37.534197) (xy 156.808095 37.504695) (xy 156.786191 37.479416) + (xy 156.728983 37.391852) (xy 156.72898 37.391849) (xy 156.728979 37.391847) (xy 156.571784 37.221087) + (xy 156.571779 37.221083) (xy 156.571777 37.221081) (xy 156.388634 37.078535) (xy 156.388628 37.078531) + (xy 156.184504 36.968064) (xy 156.184495 36.968061) (xy 155.964984 36.892702) (xy 155.793282 36.86405) + (xy 155.736049 36.8545) (xy 155.503951 36.8545) (xy 155.458164 36.86214) (xy 155.275015 36.892702) + (xy 155.055504 36.968061) (xy 155.055495 36.968064) (xy 154.851371 37.078531) (xy 154.851365 37.078535) + (xy 154.668222 37.221081) (xy 154.668219 37.221084) (xy 154.511016 37.391852) (xy 154.384075 37.586151) + (xy 154.290842 37.798699) (xy 154.233866 38.023691) (xy 154.233864 38.023702) (xy 154.2147 38.254993) + (xy 154.2147 38.255006) (xy 154.233864 38.486297) (xy 154.233866 38.486308) (xy 154.290842 38.7113) + (xy 154.384075 38.923848) (xy 154.511016 39.118147) (xy 154.511019 39.118151) (xy 154.511021 39.118153) + (xy 154.668216 39.288913) (xy 154.668219 39.288915) (xy 154.668222 39.288918) (xy 154.851365 39.431464) + (xy 154.851371 39.431468) (xy 154.851374 39.43147) (xy 155.055497 39.541936) (xy 155.12601 39.566143) + (xy 155.275015 39.617297) (xy 155.275017 39.617297) (xy 155.275019 39.617298) (xy 155.503951 39.6555) + (xy 155.503952 39.6555) (xy 155.736048 39.6555) (xy 155.736049 39.6555) (xy 155.964981 39.617298) + (xy 156.184503 39.541936) (xy 156.388626 39.43147) (xy 156.394182 39.427146) (xy 156.474659 39.364508) + (xy 156.571784 39.288913) (xy 156.728979 39.118153) (xy 156.786191 39.030582) (xy 156.839337 38.985226) + (xy 156.908568 38.975802) (xy 156.971904 39.005304) (xy 156.993809 39.030583) (xy 157.051016 39.118147) + (xy 157.051019 39.118151) (xy 157.051021 39.118153) (xy 157.208216 39.288913) (xy 157.208219 39.288915) + (xy 157.208222 39.288918) (xy 157.391365 39.431464) (xy 157.391371 39.431468) (xy 157.391374 39.43147) + (xy 157.595497 39.541936) (xy 157.66601 39.566143) (xy 157.815015 39.617297) (xy 157.815017 39.617297) + (xy 157.815019 39.617298) (xy 158.043951 39.6555) (xy 158.043952 39.6555) (xy 158.276048 39.6555) + (xy 158.276049 39.6555) (xy 158.504981 39.617298) (xy 158.724503 39.541936) (xy 158.928626 39.43147) + (xy 158.934182 39.427146) (xy 159.014659 39.364508) (xy 159.111784 39.288913) (xy 159.228921 39.161666) + (xy 159.288806 39.125677) (xy 159.358645 39.127776) (xy 159.416261 39.1673) (xy 159.430058 39.188238) + (xy 159.452263 39.230747) (xy 159.574428 39.380571) (xy 159.636844 39.431464) (xy 159.724249 39.502734) + (xy 159.895594 39.592237) (xy 160.081448 39.645417) (xy 160.194862 39.6555) (xy 161.053956 39.6555) + (xy 161.120995 39.675185) (xy 161.141637 39.691819) (xy 161.359981 39.910163) (xy 161.393466 39.971486) + (xy 161.3963 39.997844) (xy 161.3963 52.077995) (xy 161.376615 52.145034) (xy 161.323811 52.190789) + (xy 161.254653 52.200733) (xy 161.233983 52.195926) (xy 161.144733 52.166928) (xy 161.142788 52.166279) + (xy 161.108208 52.154408) (xy 161.044981 52.132702) (xy 161.044971 52.1327) (xy 161.04497 52.1327) + (xy 161.042587 52.132302) (xy 161.032744 52.129897) (xy 161.03269 52.130123) (xy 161.027956 52.128986) + (xy 161.027951 52.128985) (xy 161.024144 52.128382) (xy 160.925384 52.112739) (xy 160.924375 52.112575) + (xy 160.81605 52.0945) (xy 160.816049 52.0945) (xy 160.810222 52.0945) (xy 158.169096 52.0945) (xy 158.102057 52.074815) + (xy 158.056302 52.022011) (xy 158.046358 51.952853) (xy 158.075383 51.889297) (xy 158.081415 51.882819) + (xy 158.345945 51.618289) (xy 158.633506 51.330728) (xy 158.633511 51.330724) (xy 158.643714 51.32052) + (xy 158.643716 51.32052) (xy 158.75552 51.208716) (xy 158.817404 51.101529) (xy 158.834577 51.071785) + (xy 158.875501 50.919057) (xy 158.875501 50.760943) (xy 158.875501 50.753348) (xy 158.8755 50.75333) + (xy 158.8755 45.309056) (xy 158.875501 45.309043) (xy 158.875501 45.140942) (xy 158.875501 45.14094) + (xy 158.834577 44.988212) (xy 158.805639 44.938092) (xy 158.75552 44.851281) (xy 158.643716 44.739477) + (xy 158.643715 44.739476) (xy 158.639385 44.735146) (xy 158.639374 44.735136) (xy 158.051818 44.14758) + (xy 158.018333 44.086257) (xy 158.015499 44.059899) (xy 158.015499 42.987126) (xy 158.015498 42.98712) + (xy 158.015497 42.987113) (xy 158.009091 42.927514) (xy 157.990884 42.878699) (xy 157.958797 42.792668) + (xy 157.958793 42.792661) (xy 157.872547 42.677452) (xy 157.872544 42.677449) (xy 157.757335 42.591203) + (xy 157.757328 42.591199) (xy 157.622482 42.540905) (xy 157.622483 42.540905) (xy 157.562883 42.534498) + (xy 157.562881 42.534497) (xy 157.562873 42.534497) (xy 157.562864 42.534497) (xy 155.917129 42.534497) + (xy 155.917123 42.534498) (xy 155.857516 42.540905) (xy 155.722671 42.591199) (xy 155.722664 42.591203) + (xy 155.607455 42.677449) (xy 155.607452 42.677452) (xy 155.521206 42.792661) (xy 155.521202 42.792668) + (xy 155.470908 42.927514) (xy 155.464505 42.987078) (xy 155.464501 42.98712) (xy 155.4645 42.987132) + (xy 155.4645 44.059898) (xy 155.444815 44.126937) (xy 155.428181 44.147579) (xy 155.087581 44.488181) + (xy 155.026258 44.521666) (xy 154.9999 44.5245) (xy 151.480097 44.5245) (xy 151.413058 44.504815) + (xy 151.392416 44.488181) (xy 151.051817 44.147582) (xy 151.018332 44.086259) (xy 151.015498 44.059901) + (xy 151.015498 42.987129) (xy 151.015498 42.987126) (xy 151.00909 42.927517) (xy 150.990882 42.8787) + (xy 150.958796 42.792671) (xy 150.958792 42.792664) (xy 150.872546 42.677455) (xy 150.872543 42.677452) + (xy 150.757334 42.591206) (xy 150.757327 42.591202) (xy 150.622481 42.540908) (xy 150.622482 42.540908) + (xy 150.562882 42.534501) (xy 150.56288 42.5345) (xy 150.562872 42.5345) (xy 150.562863 42.5345) + (xy 148.917128 42.5345) (xy 148.917122 42.534501) (xy 148.857515 42.540908) (xy 148.72267 42.591202) + (xy 148.722663 42.591206) (xy 148.607454 42.677452) (xy 148.607451 42.677455) (xy 148.521205 42.792664) + (xy 148.521201 42.792671) (xy 148.470907 42.927517) (xy 148.4645 42.987116) (xy 148.4645 42.987125) + (xy 148.464499 42.987135) (xy 148.464499 44.38287) (xy 148.4645 44.382876) (xy 148.470907 44.442483) + (xy 148.521201 44.577328) (xy 148.521205 44.577335) (xy 148.607451 44.692544) (xy 148.607454 44.692547) + (xy 148.722663 44.778793) (xy 148.72267 44.778797) (xy 148.857516 44.829091) (xy 148.857515 44.829091) + (xy 148.864443 44.829835) (xy 148.917126 44.8355) (xy 149.9899 44.835499) (xy 150.056939 44.855183) + (xy 150.077581 44.871818) (xy 150.811283 45.60552) (xy 150.811285 45.605521) (xy 150.811289 45.605524) + (xy 150.948208 45.684573) (xy 150.948211 45.684575) (xy 150.948215 45.684577) (xy 151.100942 45.725501) + (xy 151.100944 45.725501) (xy 151.266653 45.725501) (xy 151.266669 45.7255) (xy 155.213328 45.7255) + (xy 155.213344 45.725501) (xy 155.22094 45.725501) (xy 155.379051 45.725501) (xy 155.379054 45.725501) + (xy 155.531782 45.684577) (xy 155.602591 45.643695) (xy 155.668713 45.60552) (xy 155.780517 45.493716) + (xy 155.780517 45.493714) (xy 155.790721 45.483511) (xy 155.790725 45.483506) (xy 156.402416 44.871815) + (xy 156.463739 44.83833) (xy 156.490097 44.835496) (xy 156.989902 44.835496) (xy 157.056941 44.855181) + (xy 157.077583 44.871815) (xy 157.638181 45.432413) (xy 157.671666 45.493736) (xy 157.6745 45.520094) + (xy 157.6745 46.9105) (xy 157.654815 46.977539) (xy 157.602011 47.023294) (xy 157.5505 47.0345) + (xy 156.490099 47.0345) (xy 156.42306 47.014815) (xy 156.402418 46.998181) (xy 155.842591 46.438355) + (xy 155.842589 46.438352) (xy 155.723718 46.319481) (xy 155.723717 46.31948) (xy 155.636905 46.26936) + (xy 155.636905 46.269359) (xy 155.636901 46.269358) (xy 155.586786 46.240423) (xy 155.434058 46.199499) + (xy 155.275944 46.199499) (xy 155.268348 46.199499) (xy 155.268332 46.1995) (xy 151.211672 46.1995) + (xy 151.211656 46.199499) (xy 151.20406 46.199499) (xy 151.045946 46.199499) (xy 150.93859 46.228265) + (xy 150.893213 46.240424) (xy 150.893212 46.240425) (xy 150.843099 46.269359) (xy 150.843098 46.26936) + (xy 150.801651 46.293289) (xy 150.756288 46.319479) (xy 150.756285 46.319481) (xy 150.644481 46.431286) + (xy 150.077582 46.998184) (xy 150.016259 47.031669) (xy 149.989901 47.034503) (xy 148.917129 47.034503) + (xy 148.917123 47.034504) (xy 148.857516 47.040911) (xy 148.722671 47.091205) (xy 148.722664 47.091209) + (xy 148.607455 47.177455) (xy 148.607452 47.177458) (xy 148.521206 47.292667) (xy 148.521202 47.292674) + (xy 148.470908 47.42752) (xy 148.464501 47.487119) (xy 148.4645 47.487138) (xy 148.4645 48.882873) + (xy 148.464501 48.882879) (xy 148.470908 48.942486) (xy 148.521202 49.077331) (xy 148.521206 49.077338) + (xy 148.607452 49.192547) (xy 148.607455 49.19255) (xy 148.722664 49.278796) (xy 148.722671 49.2788) + (xy 148.857517 49.329094) (xy 148.857516 49.329094) (xy 148.864444 49.329838) (xy 148.917127 49.335503) + (xy 150.562872 49.335502) (xy 150.622483 49.329094) (xy 150.757331 49.278799) (xy 150.872546 49.192549) + (xy 150.958796 49.077334) (xy 151.009091 48.942486) (xy 151.0155 48.882876) (xy 151.015499 47.810099) + (xy 151.035183 47.743061) (xy 151.051818 47.722419) (xy 151.337419 47.436819) (xy 151.398742 47.403334) + (xy 151.4251 47.4005) (xy 155.054904 47.4005) (xy 155.121943 47.420185) (xy 155.142585 47.436819) + (xy 155.428182 47.722416) (xy 155.461667 47.783739) (xy 155.464501 47.810097) (xy 155.464501 48.88287) + (xy 155.464502 48.882876) (xy 155.470909 48.942483) (xy 155.521203 49.077328) (xy 155.521207 49.077335) + (xy 155.607453 49.192544) (xy 155.607456 49.192547) (xy 155.722665 49.278793) (xy 155.722672 49.278797) + (xy 155.767619 49.295561) (xy 155.857518 49.329091) (xy 155.917128 49.3355) (xy 156.015501 49.335499) + (xy 156.082539 49.355183) (xy 156.128295 49.407986) (xy 156.139501 49.459499) (xy 156.139501 50.228331) + (xy 156.1395 50.228349) (xy 156.1395 50.235944) (xy 156.1395 50.394058) (xy 156.14218 50.404061) + (xy 156.145274 50.415605) (xy 156.1495 50.447701) (xy 156.1495 50.524903) (xy 156.129815 50.591942) + (xy 156.113181 50.612584) (xy 155.937584 50.788181) (xy 155.876261 50.821666) (xy 155.849903 50.8245) + (xy 155.229057 50.8245) (xy 155.070943 50.8245) (xy 154.918215 50.865423) (xy 154.893217 50.879856) + (xy 154.893214 50.879858) (xy 154.781285 50.944479) (xy 154.781282 50.944481) (xy 154.669478 51.056286) + (xy 153.60484 52.120923) (xy 153.543517 52.154408) (xy 153.476898 52.150524) (xy 153.424981 52.132702) + (xy 153.409526 52.130123) (xy 153.196049 52.0945) (xy 152.963951 52.0945) (xy 152.941383 52.098266) + (xy 152.735015 52.132702) (xy 152.515504 52.208061) (xy 152.515495 52.208064) (xy 152.311371 52.318531) + (xy 152.311365 52.318535) (xy 152.128222 52.461081) (xy 152.128219 52.461084) (xy 151.971016 52.631852) + (xy 151.913809 52.719416) (xy 151.860662 52.764773) (xy 151.791431 52.774197) (xy 151.728095 52.744695) + (xy 151.706191 52.719416) (xy 151.648983 52.631852) (xy 151.64898 52.631849) (xy 151.648979 52.631847) + (xy 151.491784 52.461087) (xy 151.491779 52.461083) (xy 151.491777 52.461081) (xy 151.308634 52.318535) + (xy 151.308628 52.318531) (xy 151.104504 52.208064) (xy 151.104495 52.208061) (xy 150.884984 52.132702) + (xy 150.678617 52.098266) (xy 150.656049 52.0945) (xy 150.423951 52.0945) (xy 150.401383 52.098266) + (xy 150.195015 52.132702) (xy 149.975504 52.208061) (xy 149.975495 52.208064) (xy 149.771371 52.318531) + (xy 149.771365 52.318535) (xy 149.588222 52.461081) (xy 149.588219 52.461084) (xy 149.431016 52.631852) + (xy 149.373809 52.719416) (xy 149.320662 52.764773) (xy 149.251431 52.774197) (xy 149.188095 52.744695) + (xy 149.166191 52.719416) (xy 149.108983 52.631852) (xy 149.10898 52.631849) (xy 149.108979 52.631847) + (xy 148.951784 52.461087) (xy 148.951779 52.461083) (xy 148.951777 52.461081) (xy 148.768634 52.318535) + (xy 148.768628 52.318531) (xy 148.564504 52.208064) (xy 148.564495 52.208061) (xy 148.344984 52.132702) + (xy 148.138617 52.098266) (xy 148.116049 52.0945) (xy 147.883951 52.0945) (xy 147.861383 52.098266) + (xy 147.655015 52.132702) (xy 147.435504 52.208061) (xy 147.435495 52.208064) (xy 147.231371 52.318531) + (xy 147.231365 52.318535) (xy 147.048222 52.461081) (xy 147.048219 52.461084) (xy 146.891016 52.631852) + (xy 146.833809 52.719416) (xy 146.780662 52.764773) (xy 146.711431 52.774197) (xy 146.648095 52.744695) + (xy 146.626191 52.719416) (xy 146.568983 52.631852) (xy 146.56898 52.631849) (xy 146.568979 52.631847) + (xy 146.411784 52.461087) (xy 146.411779 52.461083) (xy 146.411777 52.461081) (xy 146.228634 52.318535) + (xy 146.228628 52.318531) (xy 146.024504 52.208064) (xy 146.024495 52.208061) (xy 145.804984 52.132702) + (xy 145.598617 52.098266) (xy 145.576049 52.0945) (xy 145.343951 52.0945) (xy 145.321383 52.098266) + (xy 145.115015 52.132702) (xy 144.895504 52.208061) (xy 144.895495 52.208064) (xy 144.691371 52.318531) + (xy 144.691365 52.318535) (xy 144.508222 52.461081) (xy 144.508219 52.461084) (xy 144.351016 52.631852) + (xy 144.293809 52.719416) (xy 144.240662 52.764773) (xy 144.171431 52.774197) (xy 144.108095 52.744695) + (xy 144.086191 52.719416) (xy 144.028983 52.631852) (xy 144.02898 52.631849) (xy 144.028979 52.631847) + (xy 143.871784 52.461087) (xy 143.871779 52.461083) (xy 143.871777 52.461081) (xy 143.688634 52.318535) + (xy 143.688628 52.318531) (xy 143.484504 52.208064) (xy 143.484495 52.208061) (xy 143.264984 52.132702) + (xy 143.058617 52.098266) (xy 143.036049 52.0945) (xy 142.803951 52.0945) (xy 142.781383 52.098266) + (xy 142.575015 52.132702) (xy 142.355504 52.208061) (xy 142.355495 52.208064) (xy 142.151371 52.318531) + (xy 142.151365 52.318535) (xy 141.968222 52.461081) (xy 141.968219 52.461084) (xy 141.811016 52.631852) + (xy 141.753809 52.719416) (xy 141.700662 52.764773) (xy 141.631431 52.774197) (xy 141.568095 52.744695) + (xy 141.546191 52.719416) (xy 141.488983 52.631852) (xy 141.48898 52.631849) (xy 141.488979 52.631847) + (xy 141.331784 52.461087) (xy 141.331779 52.461083) (xy 141.331777 52.461081) (xy 141.148634 52.318535) + (xy 141.148628 52.318531) (xy 140.944504 52.208064) (xy 140.944495 52.208061) (xy 140.724984 52.132702) + (xy 140.518617 52.098266) (xy 140.496049 52.0945) (xy 140.263951 52.0945) (xy 140.241383 52.098266) + (xy 140.035015 52.132702) (xy 139.815504 52.208061) (xy 139.815495 52.208064) (xy 139.611371 52.318531) + (xy 139.611365 52.318535) (xy 139.428222 52.461081) (xy 139.428219 52.461084) (xy 139.271016 52.631852) + (xy 139.213809 52.719416) (xy 139.160662 52.764773) (xy 139.091431 52.774197) (xy 139.028095 52.744695) + (xy 139.006191 52.719416) (xy 138.948983 52.631852) (xy 138.94898 52.631849) (xy 138.948979 52.631847) + (xy 138.791784 52.461087) (xy 138.791779 52.461083) (xy 138.791777 52.461081) (xy 138.608634 52.318535) + (xy 138.608628 52.318531) (xy 138.404504 52.208064) (xy 138.404495 52.208061) (xy 138.184984 52.132702) + (xy 137.978617 52.098266) (xy 137.956049 52.0945) (xy 137.723951 52.0945) (xy 137.701383 52.098266) + (xy 137.495015 52.132702) (xy 137.275504 52.208061) (xy 137.275495 52.208064) (xy 137.071371 52.318531) + (xy 137.071365 52.318535) (xy 136.888222 52.461081) (xy 136.888219 52.461084) (xy 136.731016 52.631852) + (xy 136.673809 52.719416) (xy 136.620662 52.764773) (xy 136.551431 52.774197) (xy 136.488095 52.744695) + (xy 136.466191 52.719416) (xy 136.408983 52.631852) (xy 136.40898 52.631849) (xy 136.408979 52.631847) + (xy 136.251784 52.461087) (xy 136.251779 52.461083) (xy 136.251777 52.461081) (xy 136.068634 52.318535) + (xy 136.068628 52.318531) (xy 135.864504 52.208064) (xy 135.864495 52.208061) (xy 135.644984 52.132702) + (xy 135.438617 52.098266) (xy 135.416049 52.0945) (xy 135.183951 52.0945) (xy 135.161383 52.098266) + (xy 134.955015 52.132702) (xy 134.735504 52.208061) (xy 134.735495 52.208064) (xy 134.531371 52.318531) + (xy 134.531365 52.318535) (xy 134.348222 52.461081) (xy 134.348219 52.461084) (xy 134.191016 52.631852) + (xy 134.133809 52.719416) (xy 134.080662 52.764773) (xy 134.011431 52.774197) (xy 133.948095 52.744695) + (xy 133.926191 52.719416) (xy 133.868983 52.631852) (xy 133.86898 52.631849) (xy 133.868979 52.631847) + (xy 133.711784 52.461087) (xy 133.711779 52.461083) (xy 133.711777 52.461081) (xy 133.528634 52.318535) + (xy 133.528628 52.318531) (xy 133.324504 52.208064) (xy 133.324495 52.208061) (xy 133.104984 52.132702) + (xy 132.898617 52.098266) (xy 132.876049 52.0945) (xy 132.643951 52.0945) (xy 132.621383 52.098266) + (xy 132.415015 52.132702) (xy 132.195504 52.208061) (xy 132.195495 52.208064) (xy 131.991371 52.318531) + (xy 131.991365 52.318535) (xy 131.808222 52.461081) (xy 131.808219 52.461084) (xy 131.651016 52.631852) + (xy 131.593809 52.719416) (xy 131.540662 52.764773) (xy 131.471431 52.774197) (xy 131.408095 52.744695) + (xy 131.386191 52.719416) (xy 131.328983 52.631852) (xy 131.32898 52.631849) (xy 131.328979 52.631847) + (xy 131.171784 52.461087) (xy 131.171779 52.461083) (xy 131.171777 52.461081) (xy 130.988634 52.318535) + (xy 130.988628 52.318531) (xy 130.784504 52.208064) (xy 130.784495 52.208061) (xy 130.564984 52.132702) + (xy 130.358617 52.098266) (xy 130.336049 52.0945) (xy 130.103951 52.0945) (xy 130.081383 52.098266) + (xy 129.875015 52.132702) (xy 129.655504 52.208061) (xy 129.655495 52.208064) (xy 129.451371 52.318531) + (xy 129.451365 52.318535) (xy 129.268222 52.461081) (xy 129.268219 52.461084) (xy 129.111016 52.631852) + (xy 128.984075 52.826151) (xy 128.890842 53.038699) (xy 128.833866 53.263691) (xy 128.833864 53.263702) + (xy 128.8147 53.494993) (xy 128.8147 53.495006) (xy 128.833864 53.726297) (xy 128.833866 53.726308) + (xy 128.890842 53.9513) (xy 128.984075 54.163848) (xy 129.111016 54.358147) (xy 129.111019 54.358151) + (xy 129.111021 54.358153) (xy 129.268216 54.528913) (xy 129.268219 54.528915) (xy 129.268222 54.528918) + (xy 129.451365 54.671464) (xy 129.451371 54.671468) (xy 129.451374 54.67147) (xy 129.568721 54.734975) + (xy 129.638319 54.77264) (xy 129.655497 54.781936) (xy 129.749703 54.814277) (xy 129.875015 54.857297) + (xy 129.875017 54.857297) (xy 129.875019 54.857298) (xy 130.103951 54.8955) (xy 130.103952 54.8955) + (xy 130.336048 54.8955) (xy 130.336049 54.8955) (xy 130.564981 54.857298) (xy 130.784503 54.781936) + (xy 130.988626 54.67147) (xy 131.003335 54.660022) (xy 131.093506 54.589839) (xy 131.171784 54.528913) + (xy 131.328979 54.358153) (xy 131.386191 54.270582) (xy 131.439337 54.225226) (xy 131.508568 54.215802) + (xy 131.571904 54.245304) (xy 131.593809 54.270583) (xy 131.651016 54.358147) (xy 131.651019 54.358151) + (xy 131.651021 54.358153) (xy 131.808216 54.528913) (xy 131.808219 54.528915) (xy 131.808222 54.528918) + (xy 131.991365 54.671464) (xy 131.991371 54.671468) (xy 131.991374 54.67147) (xy 132.108721 54.734975) + (xy 132.178319 54.77264) (xy 132.195497 54.781936) (xy 132.289703 54.814277) (xy 132.415015 54.857297) + (xy 132.415017 54.857297) (xy 132.415019 54.857298) (xy 132.643951 54.8955) (xy 132.643952 54.8955) + (xy 132.876048 54.8955) (xy 132.876049 54.8955) (xy 133.104981 54.857298) (xy 133.324503 54.781936) + (xy 133.528626 54.67147) (xy 133.543335 54.660022) (xy 133.633506 54.589839) (xy 133.711784 54.528913) + (xy 133.868979 54.358153) (xy 133.926191 54.270582) (xy 133.979337 54.225226) (xy 134.048568 54.215802) + (xy 134.111904 54.245304) (xy 134.133809 54.270583) (xy 134.191016 54.358147) (xy 134.191019 54.358151) + (xy 134.191021 54.358153) (xy 134.348216 54.528913) (xy 134.348219 54.528915) (xy 134.348222 54.528918) + (xy 134.531365 54.671464) (xy 134.531371 54.671468) (xy 134.531374 54.67147) (xy 134.648721 54.734975) + (xy 134.718319 54.77264) (xy 134.735497 54.781936) (xy 134.829703 54.814277) (xy 134.955015 54.857297) + (xy 134.955017 54.857297) (xy 134.955019 54.857298) (xy 135.183951 54.8955) (xy 135.183952 54.8955) + (xy 135.416048 54.8955) (xy 135.416049 54.8955) (xy 135.644981 54.857298) (xy 135.864503 54.781936) + (xy 136.068626 54.67147) (xy 136.083335 54.660022) (xy 136.173506 54.589839) (xy 136.251784 54.528913) + (xy 136.408979 54.358153) (xy 136.466191 54.270582) (xy 136.519337 54.225226) (xy 136.588568 54.215802) + (xy 136.651904 54.245304) (xy 136.673809 54.270583) (xy 136.731016 54.358147) (xy 136.731019 54.358151) + (xy 136.731021 54.358153) (xy 136.888216 54.528913) (xy 136.888219 54.528915) (xy 136.888222 54.528918) + (xy 137.071365 54.671464) (xy 137.071371 54.671468) (xy 137.071374 54.67147) (xy 137.188721 54.734975) + (xy 137.258319 54.77264) (xy 137.275497 54.781936) (xy 137.369703 54.814277) (xy 137.495015 54.857297) + (xy 137.495017 54.857297) (xy 137.495019 54.857298) (xy 137.723951 54.8955) (xy 137.723952 54.8955) + (xy 137.956048 54.8955) (xy 137.956049 54.8955) (xy 138.184981 54.857298) (xy 138.404503 54.781936) + (xy 138.608626 54.67147) (xy 138.623335 54.660022) (xy 138.713506 54.589839) (xy 138.791784 54.528913) + (xy 138.948979 54.358153) (xy 139.006191 54.270582) (xy 139.059337 54.225226) (xy 139.128568 54.215802) + (xy 139.191904 54.245304) (xy 139.213809 54.270583) (xy 139.271016 54.358147) (xy 139.271019 54.358151) + (xy 139.271021 54.358153) (xy 139.428216 54.528913) (xy 139.428219 54.528915) (xy 139.428222 54.528918) + (xy 139.611365 54.671464) (xy 139.611371 54.671468) (xy 139.611374 54.67147) (xy 139.728721 54.734975) + (xy 139.798319 54.77264) (xy 139.815497 54.781936) (xy 139.909703 54.814277) (xy 140.035015 54.857297) + (xy 140.035017 54.857297) (xy 140.035019 54.857298) (xy 140.263951 54.8955) (xy 140.263952 54.8955) + (xy 140.496048 54.8955) (xy 140.496049 54.8955) (xy 140.724981 54.857298) (xy 140.944503 54.781936) + (xy 141.148626 54.67147) (xy 141.163335 54.660022) (xy 141.253506 54.589839) (xy 141.331784 54.528913) + (xy 141.488979 54.358153) (xy 141.546191 54.270582) (xy 141.599337 54.225226) (xy 141.668568 54.215802) + (xy 141.731904 54.245304) (xy 141.753809 54.270583) (xy 141.811016 54.358147) (xy 141.811019 54.358151) + (xy 141.811021 54.358153) (xy 141.968216 54.528913) (xy 141.968219 54.528915) (xy 141.968222 54.528918) + (xy 142.151365 54.671464) (xy 142.151371 54.671468) (xy 142.151374 54.67147) (xy 142.268721 54.734975) + (xy 142.338319 54.77264) (xy 142.355497 54.781936) (xy 142.449703 54.814277) (xy 142.575015 54.857297) + (xy 142.575017 54.857297) (xy 142.575019 54.857298) (xy 142.803951 54.8955) (xy 142.803952 54.8955) + (xy 143.036048 54.8955) (xy 143.036049 54.8955) (xy 143.264981 54.857298) (xy 143.484503 54.781936) + (xy 143.688626 54.67147) (xy 143.703335 54.660022) (xy 143.793506 54.589839) (xy 143.871784 54.528913) + (xy 144.028979 54.358153) (xy 144.086191 54.270582) (xy 144.139337 54.225226) (xy 144.208568 54.215802) + (xy 144.271904 54.245304) (xy 144.293809 54.270583) (xy 144.351016 54.358147) (xy 144.351019 54.358151) + (xy 144.351021 54.358153) (xy 144.508216 54.528913) (xy 144.508219 54.528915) (xy 144.508222 54.528918) + (xy 144.691365 54.671464) (xy 144.691371 54.671468) (xy 144.691374 54.67147) (xy 144.808721 54.734975) + (xy 144.878319 54.77264) (xy 144.895497 54.781936) (xy 144.989703 54.814277) (xy 145.115015 54.857297) + (xy 145.115017 54.857297) (xy 145.115019 54.857298) (xy 145.343951 54.8955) (xy 145.343952 54.8955) + (xy 145.576048 54.8955) (xy 145.576049 54.8955) (xy 145.804981 54.857298) (xy 146.024503 54.781936) + (xy 146.228626 54.67147) (xy 146.243335 54.660022) (xy 146.333506 54.589839) (xy 146.411784 54.528913) + (xy 146.568979 54.358153) (xy 146.626191 54.270582) (xy 146.679337 54.225226) (xy 146.748568 54.215802) + (xy 146.811904 54.245304) (xy 146.833809 54.270583) (xy 146.891016 54.358147) (xy 146.891019 54.358151) + (xy 146.891021 54.358153) (xy 147.048216 54.528913) (xy 147.048219 54.528915) (xy 147.048222 54.528918) + (xy 147.231365 54.671464) (xy 147.231371 54.671468) (xy 147.231374 54.67147) (xy 147.348721 54.734975) + (xy 147.418319 54.77264) (xy 147.435497 54.781936) (xy 147.529703 54.814277) (xy 147.655015 54.857297) + (xy 147.655017 54.857297) (xy 147.655019 54.857298) (xy 147.883951 54.8955) (xy 147.883952 54.8955) + (xy 148.116048 54.8955) (xy 148.116049 54.8955) (xy 148.344981 54.857298) (xy 148.564503 54.781936) + (xy 148.768626 54.67147) (xy 148.783335 54.660022) (xy 148.873506 54.589839) (xy 148.951784 54.528913) + (xy 149.108979 54.358153) (xy 149.166191 54.270582) (xy 149.219337 54.225226) (xy 149.288568 54.215802) + (xy 149.351904 54.245304) (xy 149.373809 54.270583) (xy 149.431016 54.358147) (xy 149.431019 54.358151) + (xy 149.431021 54.358153) (xy 149.588216 54.528913) (xy 149.588219 54.528915) (xy 149.588222 54.528918) + (xy 149.771365 54.671464) (xy 149.771371 54.671468) (xy 149.771374 54.67147) (xy 149.888721 54.734975) + (xy 149.958319 54.77264) (xy 149.975497 54.781936) (xy 150.069703 54.814277) (xy 150.195015 54.857297) + (xy 150.195017 54.857297) (xy 150.195019 54.857298) (xy 150.423951 54.8955) (xy 150.423952 54.8955) + (xy 150.656048 54.8955) (xy 150.656049 54.8955) (xy 150.884981 54.857298) (xy 151.104503 54.781936) + (xy 151.308626 54.67147) (xy 151.323335 54.660022) (xy 151.413506 54.589839) (xy 151.491784 54.528913) + (xy 151.648979 54.358153) (xy 151.706191 54.270582) (xy 151.759337 54.225226) (xy 151.828568 54.215802) + (xy 151.891904 54.245304) (xy 151.913809 54.270583) (xy 151.971016 54.358147) (xy 151.971019 54.358151) + (xy 151.971021 54.358153) (xy 152.128216 54.528913) (xy 152.128219 54.528915) (xy 152.128222 54.528918) + (xy 152.311365 54.671464) (xy 152.311371 54.671468) (xy 152.311374 54.67147) (xy 152.428721 54.734975) + (xy 152.498319 54.77264) (xy 152.515497 54.781936) (xy 152.609703 54.814277) (xy 152.735015 54.857297) + (xy 152.735017 54.857297) (xy 152.735019 54.857298) (xy 152.963951 54.8955) (xy 152.963952 54.8955) + (xy 153.196048 54.8955) (xy 153.196049 54.8955) (xy 153.424981 54.857298) (xy 153.644503 54.781936) + (xy 153.848626 54.67147) (xy 153.863335 54.660022) (xy 153.953506 54.589839) (xy 154.031784 54.528913) + (xy 154.148921 54.401666) (xy 154.208806 54.365677) (xy 154.278645 54.367776) (xy 154.336261 54.4073) + (xy 154.350058 54.428238) (xy 154.372263 54.470747) (xy 154.494428 54.620571) (xy 154.556844 54.671464) + (xy 154.644249 54.742734) (xy 154.815594 54.832237) (xy 155.001448 54.885417) (xy 155.114862 54.8955) + (xy 155.11487 54.8955) (xy 156.12513 54.8955) (xy 156.125138 54.8955) (xy 156.238552 54.885417) + (xy 156.424406 54.832237) (xy 156.595751 54.742734) (xy 156.745571 54.620571) (xy 156.867734 54.470751) + (xy 156.867737 54.470747) (xy 156.888308 54.431364) (xy 156.936794 54.381056) (xy 157.004781 54.364948) + (xy 157.070685 54.388154) (xy 157.088073 54.404159) (xy 157.088313 54.40392) (xy 157.247636 54.563243) + (xy 157.247641 54.563247) (xy 157.284252 54.589846) (xy 157.425978 54.692815) (xy 157.554375 54.758237) + (xy 157.622393 54.792895) (xy 157.622396 54.792896) (xy 157.682154 54.812312) (xy 157.832049 54.861015) + (xy 158.049778 54.8955) (xy 158.049779 54.8955) (xy 160.816044 54.8955) (xy 160.816049 54.8955) + (xy 160.924678 54.877372) (xy 160.92497 54.877324) (xy 161.027951 54.861015) (xy 161.027956 54.861013) + (xy 161.032689 54.859877) (xy 161.032744 54.860109) (xy 161.042621 54.857691) (xy 161.044981 54.857298) + (xy 161.142838 54.823702) (xy 161.144683 54.823085) (xy 161.237606 54.792895) (xy 161.240199 54.791573) + (xy 161.256249 54.784769) (xy 161.264503 54.781936) (xy 161.349988 54.735673) (xy 161.352631 54.734285) + (xy 161.397102 54.711626) (xy 161.465769 54.69873) (xy 161.53051 54.725005) (xy 161.548599 54.744643) + (xy 161.549536 54.743875) (xy 161.553402 54.748586) (xy 161.655736 54.85092) (xy 161.655758 54.85094) + (xy 169.784981 62.980163) (xy 169.818466 63.041486) (xy 169.8213 63.067844) (xy 169.8213 68.913162) + (xy 169.801615 68.980201) (xy 169.796116 68.987404) (xy 169.796286 68.987528) (xy 169.792464 68.992787) + (xy 169.697821 69.156715) (xy 169.697818 69.156722) (xy 169.639327 69.33674) (xy 169.639326 69.336744) + (xy 169.61954 69.525) (xy 169.639326 69.713256) (xy 169.639327 69.713259) (xy 169.697818 69.893277) + (xy 169.697821 69.893284) (xy 169.792467 70.057216) (xy 169.919129 70.197888) (xy 170.072265 70.309148) + (xy 170.07227 70.309151) (xy 170.245192 70.386142) (xy 170.245197 70.386144) (xy 170.430354 70.4255) + (xy 170.430355 70.4255) (xy 170.619644 70.4255) (xy 170.619646 70.4255) (xy 170.804803 70.386144) + (xy 170.97773 70.309151) (xy 171.07092 70.241445) (xy 175.548335 70.241445) (xy 175.575254 70.376772) + (xy 175.575257 70.376782) (xy 175.628057 70.504254) (xy 175.628064 70.504267) (xy 175.704721 70.618991) + (xy 175.704724 70.618995) (xy 175.80229 70.716561) (xy 175.802294 70.716564) (xy 175.917018 70.793221) + (xy 175.917031 70.793228) (xy 176.023019 70.837129) (xy 176.044508 70.84603) (xy 176.044512 70.84603) + (xy 176.044513 70.846031) (xy 176.17984 70.87295) (xy 176.179843 70.87295) (xy 176.317831 70.87295) + (xy 176.408877 70.854839) (xy 176.453164 70.84603) (xy 176.580647 70.793225) (xy 176.695378 70.716564) + (xy 176.79295 70.618992) (xy 176.869611 70.504261) (xy 176.922416 70.376778) (xy 176.937645 70.300216) + (xy 176.949336 70.241445) (xy 176.949336 70.103454) (xy 176.922417 69.968127) (xy 176.922416 69.968126) + (xy 176.922416 69.968122) (xy 176.92061 69.963762) (xy 176.869614 69.840645) (xy 176.869607 69.840632) + (xy 176.79295 69.725908) (xy 176.792947 69.725904) (xy 176.695381 69.628338) (xy 176.695377 69.628335) + (xy 176.580653 69.551678) (xy 176.58064 69.551671) (xy 176.453168 69.498871) (xy 176.453158 69.498868) + (xy 176.317831 69.47195) (xy 176.317829 69.47195) (xy 176.179843 69.47195) (xy 176.179841 69.47195) + (xy 176.044513 69.498868) (xy 176.044503 69.498871) (xy 175.917031 69.551671) (xy 175.917018 69.551678) + (xy 175.802294 69.628335) (xy 175.80229 69.628338) (xy 175.704724 69.725904) (xy 175.704721 69.725908) + (xy 175.628064 69.840632) (xy 175.628057 69.840645) (xy 175.575257 69.968117) (xy 175.575254 69.968127) + (xy 175.548336 70.103454) (xy 175.548336 70.103457) (xy 175.548336 70.241443) (xy 175.548336 70.241445) + (xy 175.548335 70.241445) (xy 171.07092 70.241445) (xy 171.130871 70.197888) (xy 171.257533 70.057216) + (xy 171.352179 69.893284) (xy 171.410674 69.713256) (xy 171.43046 69.525) (xy 171.410674 69.336744) + (xy 171.352179 69.156716) (xy 171.257533 68.992784) (xy 171.257532 68.992782) (xy 171.253714 68.987528) + (xy 171.255716 68.986073) (xy 171.230316 68.933115) (xy 171.2287 68.913162) (xy 171.2287 67.241445) + (xy 175.548335 67.241445) (xy 175.575254 67.376772) (xy 175.575257 67.376782) (xy 175.628057 67.504254) + (xy 175.628064 67.504267) (xy 175.704721 67.618991) (xy 175.704724 67.618995) (xy 175.80229 67.716561) + (xy 175.802294 67.716564) (xy 175.917018 67.793221) (xy 175.917031 67.793228) (xy 175.987742 67.822517) + (xy 176.044508 67.84603) (xy 176.044512 67.84603) (xy 176.044513 67.846031) (xy 176.17984 67.87295) + (xy 176.179843 67.87295) (xy 176.317831 67.87295) (xy 176.408877 67.854839) (xy 176.453164 67.84603) + (xy 176.580647 67.793225) (xy 176.695378 67.716564) (xy 176.79295 67.618992) (xy 176.869611 67.504261) + (xy 176.922416 67.376778) (xy 176.949336 67.241443) (xy 176.949336 67.103457) (xy 176.949336 67.103454) + (xy 176.922417 66.968127) (xy 176.922416 66.968126) (xy 176.922416 66.968122) (xy 176.914704 66.949503) + (xy 176.869614 66.840645) (xy 176.869607 66.840632) (xy 176.79295 66.725908) (xy 176.792947 66.725904) + (xy 176.695381 66.628338) (xy 176.695377 66.628335) (xy 176.580653 66.551678) (xy 176.58064 66.551671) + (xy 176.453168 66.498871) (xy 176.453158 66.498868) (xy 176.317831 66.47195) (xy 176.317829 66.47195) + (xy 176.179843 66.47195) (xy 176.179841 66.47195) (xy 176.044513 66.498868) (xy 176.044503 66.498871) + (xy 175.917031 66.551671) (xy 175.917018 66.551678) (xy 175.802294 66.628335) (xy 175.80229 66.628338) + (xy 175.704724 66.725904) (xy 175.704721 66.725908) (xy 175.628064 66.840632) (xy 175.628057 66.840645) + (xy 175.575257 66.968117) (xy 175.575254 66.968127) (xy 175.548336 67.103454) (xy 175.548336 67.103457) + (xy 175.548336 67.241443) (xy 175.548336 67.241445) (xy 175.548335 67.241445) (xy 171.2287 67.241445) + (xy 171.2287 62.65569) (xy 171.226134 62.642793) (xy 171.226133 62.64279) (xy 171.226132 62.642784) + (xy 171.201657 62.519738) (xy 171.148611 62.391673) (xy 171.112746 62.337997) (xy 171.0716 62.276417) + (xy 171.071598 62.276414) (xy 170.970487 62.175303) (xy 170.970456 62.175274) (xy 167.484923 58.689741) + (xy 172.3495 58.689741) (xy 172.364967 58.807216) (xy 172.379452 58.917238) (xy 172.438106 59.136142) + (xy 172.438842 59.138887) (xy 172.52665 59.350876) (xy 172.526657 59.35089) (xy 172.641392 59.549617) + (xy 172.781081 59.731661) (xy 172.781089 59.73167) (xy 172.94333 59.893911) (xy 172.943338 59.893918) + (xy 172.943339 59.893919) (xy 172.989813 59.92958) (xy 173.125382 60.033607) (xy 173.125385 60.033608) + (xy 173.125388 60.033611) (xy 173.324112 60.148344) (xy 173.324117 60.148346) (xy 173.324123 60.148349) + (xy 173.41548 60.18619) (xy 173.536113 60.236158) (xy 173.757762 60.295548) (xy 173.985266 60.3255) + (xy 173.985273 60.3255) (xy 174.214727 60.3255) (xy 174.214734 60.3255) (xy 174.442238 60.295548) + (xy 174.663887 60.236158) (xy 174.869817 60.150858) (xy 174.875876 60.148349) (xy 174.875876 60.148348) + (xy 174.875888 60.148344) (xy 175.074612 60.033611) (xy 175.256661 59.893919) (xy 175.256665 59.893914) + (xy 175.25667 59.893911) (xy 175.418911 59.73167) (xy 175.418914 59.731665) (xy 175.418919 59.731661) + (xy 175.558611 59.549612) (xy 175.673344 59.350888) (xy 175.761158 59.138887) (xy 175.820548 58.917238) + (xy 175.8505 58.689734) (xy 175.8505 58.460266) (xy 175.820548 58.232762) (xy 175.761158 58.011113) + (xy 175.678891 57.812503) (xy 175.673349 57.799123) (xy 175.673346 57.799117) (xy 175.673344 57.799112) + (xy 175.558611 57.600388) (xy 175.558608 57.600385) (xy 175.558607 57.600382) (xy 175.418918 57.418338) + (xy 175.418911 57.41833) (xy 175.25667 57.256089) (xy 175.256661 57.256081) (xy 175.074617 57.116392) + (xy 175.051899 57.103276) (xy 174.944763 57.041421) (xy 174.87589 57.001657) (xy 174.875876 57.00165) + (xy 174.663887 56.913842) (xy 174.442238 56.854452) (xy 174.404215 56.849446) (xy 174.214741 56.8245) + (xy 174.214734 56.8245) (xy 173.985266 56.8245) (xy 173.985258 56.8245) (xy 173.768715 56.853009) + (xy 173.757762 56.854452) (xy 173.739882 56.859243) (xy 173.536112 56.913842) (xy 173.324123 57.00165) + (xy 173.324109 57.001657) (xy 173.125382 57.116392) (xy 172.943338 57.256081) (xy 172.781081 57.418338) + (xy 172.641392 57.600382) (xy 172.526657 57.799109) (xy 172.52665 57.799123) (xy 172.438842 58.011112) + (xy 172.415979 58.096438) (xy 172.387271 58.203583) (xy 172.379453 58.232759) (xy 172.379451 58.23277) + (xy 172.3495 58.460258) (xy 172.3495 58.689741) (xy 167.484923 58.689741) (xy 162.840019 54.044837) + (xy 162.806534 53.983514) (xy 162.8037 53.957156) (xy 162.8037 52.561961) (xy 162.823385 52.494922) + (xy 162.876189 52.449167) (xy 162.945347 52.439223) (xy 163.008903 52.468248) (xy 163.046677 52.527026) + (xy 163.048591 52.534369) (xy 163.064976 52.60616) (xy 163.06498 52.606172) (xy 163.176179 52.923958) + (xy 163.322259 53.227297) (xy 163.322261 53.2273) (xy 163.50139 53.512382) (xy 163.711311 53.775615) + (xy 163.949385 54.013689) (xy 164.212618 54.22361) (xy 164.495773 54.401528) (xy 164.497702 54.40274) + (xy 164.598815 54.451433) (xy 164.801045 54.548822) (xy 165.006092 54.620571) (xy 165.118827 54.660019) + (xy 165.118835 54.660022) (xy 165.118838 54.660022) (xy 165.118839 54.660023) (xy 165.447085 54.734943) + (xy 165.447094 54.734944) (xy 165.447099 54.734945) (xy 165.611638 54.753483) (xy 165.781656 54.77264) + (xy 165.95 54.775) (xy 174.999118 54.775) (xy 175.000855 54.775011) (xy 175.162269 54.777274) (xy 175.17439 54.778041) + (xy 175.478553 54.812312) (xy 175.495992 54.814277) (xy 175.5097 54.816606) (xy 175.70427 54.861015) + (xy 175.811182 54.885417) (xy 175.824366 54.888426) (xy 175.837725 54.892273) (xy 176.142392 54.998881) + (xy 176.155228 55.004199) (xy 176.446025 55.144239) (xy 176.458193 55.150964) (xy 176.719554 55.315188) + (xy 176.731486 55.322685) (xy 176.742824 55.33073) (xy 176.885223 55.444289) (xy 176.995173 55.531971) + (xy 177.005541 55.541237) (xy 177.233762 55.769458) (xy 177.243028 55.779826) (xy 177.444267 56.032172) + (xy 177.452314 56.043513) (xy 177.624034 56.316804) (xy 177.63076 56.328974) (xy 177.770798 56.619766) + (xy 177.77612 56.632613) (xy 177.882724 56.93727) (xy 177.886573 56.950633) (xy 177.958393 57.265299) + (xy 177.960722 57.279007) (xy 177.996957 57.600597) (xy 177.997725 57.612743) (xy 177.999988 57.774144) + (xy 178 57.775882) (xy 178 119.924117) (xy 177.999988 119.925855) (xy 177.997725 120.087256) (xy 177.996957 120.099402) + (xy 177.960722 120.420992) (xy 177.958393 120.4347) (xy 177.886573 120.749366) (xy 177.882724 120.762729) + (xy 177.77612 121.067386) (xy 177.770798 121.080233) (xy 177.63076 121.371025) (xy 177.624034 121.383195) + (xy 177.452314 121.656486) (xy 177.444267 121.667827) (xy 177.243028 121.920173) (xy 177.233762 121.930541) + (xy 177.005541 122.158762) (xy 176.995173 122.168028) (xy 176.742827 122.369267) (xy 176.731486 122.377314) + (xy 176.458195 122.549034) (xy 176.446025 122.55576) (xy 176.155233 122.695798) (xy 176.142386 122.70112) + (xy 175.837729 122.807724) (xy 175.824366 122.811573) (xy 175.5097 122.883393) (xy 175.495992 122.885722) + (xy 175.174402 122.921957) (xy 175.162256 122.922725) (xy 175.000856 122.924988) (xy 174.999118 122.925) + (xy 128.714688 122.925) (xy 128.713034 122.924989) (xy 128.556158 122.922896) (xy 128.544314 122.92217) + (xy 128.231619 122.887929) (xy 128.218285 122.885728) (xy 127.911985 122.817839) (xy 127.898968 122.8142) + (xy 127.601862 122.713373) (xy 127.589319 122.708338) (xy 127.304224 122.575435) (xy 127.293708 122.569905) + (xy 127.158516 122.490316) (xy 127.157107 122.489474) (xy 121.470797 119.039741) (xy 172.3995 119.039741) + (xy 172.407306 119.099029) (xy 172.429452 119.267238) (xy 172.474156 119.434076) (xy 172.488842 119.488887) + (xy 172.57665 119.700876) (xy 172.576657 119.70089) (xy 172.691392 119.899617) (xy 172.831081 120.081661) + (xy 172.831089 120.08167) (xy 172.99333 120.243911) (xy 172.993338 120.243918) (xy 173.175382 120.383607) + (xy 173.175385 120.383608) (xy 173.175388 120.383611) (xy 173.374112 120.498344) (xy 173.374117 120.498346) + (xy 173.374123 120.498349) (xy 173.46548 120.53619) (xy 173.586113 120.586158) (xy 173.807762 120.645548) + (xy 174.035266 120.6755) (xy 174.035273 120.6755) (xy 174.264727 120.6755) (xy 174.264734 120.6755) + (xy 174.492238 120.645548) (xy 174.713887 120.586158) (xy 174.925888 120.498344) (xy 175.124612 120.383611) + (xy 175.306661 120.243919) (xy 175.306665 120.243914) (xy 175.30667 120.243911) (xy 175.468911 120.08167) + (xy 175.468914 120.081665) (xy 175.468919 120.081661) (xy 175.608611 119.899612) (xy 175.723344 119.700888) + (xy 175.811158 119.488887) (xy 175.870548 119.267238) (xy 175.9005 119.039734) (xy 175.9005 118.810266) + (xy 175.870548 118.582762) (xy 175.811158 118.361113) (xy 175.76119 118.24048) (xy 175.723349 118.149123) + (xy 175.723346 118.149117) (xy 175.723344 118.149112) (xy 175.608611 117.950388) (xy 175.608608 117.950385) + (xy 175.608607 117.950382) (xy 175.506283 117.817033) (xy 175.468919 117.768339) (xy 175.468918 117.768338) + (xy 175.468911 117.76833) (xy 175.30667 117.606089) (xy 175.306661 117.606081) (xy 175.124617 117.466392) + (xy 175.059817 117.42898) (xy 174.925888 117.351656) (xy 174.925876 117.35165) (xy 174.713887 117.263842) + (xy 174.492238 117.204452) (xy 174.454215 117.199446) (xy 174.264741 117.1745) (xy 174.264734 117.1745) + (xy 174.035266 117.1745) (xy 174.035258 117.1745) (xy 173.818715 117.203009) (xy 173.807762 117.204452) + (xy 173.714076 117.229554) (xy 173.586112 117.263842) (xy 173.374123 117.35165) (xy 173.374109 117.351657) + (xy 173.175382 117.466392) (xy 172.993338 117.606081) (xy 172.831081 117.768338) (xy 172.691392 117.950382) + (xy 172.576657 118.149109) (xy 172.57665 118.149123) (xy 172.488842 118.361112) (xy 172.429453 118.582759) + (xy 172.429451 118.58277) (xy 172.3995 118.810258) (xy 172.3995 119.039741) (xy 121.470797 119.039741) + (xy 111.842217 113.198337) (xy 163.8495 113.198337) (xy 163.849501 113.198355) (xy 163.85965 113.297707) + (xy 163.859651 113.29771) (xy 163.912996 113.458694) (xy 163.913001 113.458705) (xy 164.002029 113.60304) + (xy 164.002032 113.603044) (xy 164.121955 113.722967) (xy 164.121959 113.72297) (xy 164.266294 113.811998) + (xy 164.266297 113.811999) (xy 164.266303 113.812003) (xy 164.427292 113.865349) (xy 164.526655 113.8755) + (xy 165.373344 113.875499) (xy 165.373352 113.875498) (xy 165.373355 113.875498) (xy 165.42776 113.86994) + (xy 165.472708 113.865349) (xy 165.633697 113.812003) (xy 165.778044 113.722968) (xy 165.897968 113.603044) + (xy 165.987003 113.458697) (xy 166.040349 113.297708) (xy 166.0505 113.198345) (xy 166.050499 112.651656) + (xy 166.040349 112.552292) (xy 165.987003 112.391303) (xy 165.986999 112.391297) (xy 165.986998 112.391294) + (xy 165.89797 112.246959) (xy 165.897967 112.246955) (xy 165.778044 112.127032) (xy 165.77804 112.127029) + (xy 165.633705 112.038001) (xy 165.633699 112.037998) (xy 165.633697 112.037997) (xy 165.633694 112.037996) + (xy 165.472709 111.984651) (xy 165.373346 111.9745) (xy 164.526662 111.9745) (xy 164.526644 111.974501) + (xy 164.427292 111.98465) (xy 164.427289 111.984651) (xy 164.266305 112.037996) (xy 164.266294 112.038001) + (xy 164.121959 112.127029) (xy 164.121955 112.127032) (xy 164.002032 112.246955) (xy 164.002029 112.246959) + (xy 163.913001 112.391294) (xy 163.912996 112.391305) (xy 163.859651 112.55229) (xy 163.8495 112.651647) + (xy 163.8495 113.198337) (xy 111.842217 113.198337) (xy 104.779607 108.913639) (xy 149.1645 108.913639) + (xy 149.191598 109.084729) (xy 149.245127 109.249473) (xy 149.323768 109.403816) (xy 149.425586 109.543956) + (xy 149.548072 109.666442) (xy 149.688212 109.76826) (xy 149.842555 109.846901) (xy 150.007299 109.90043) + (xy 150.178389 109.927528) (xy 150.17839 109.927528) (xy 150.35161 109.927528) (xy 150.351611 109.927528) + (xy 150.522701 109.90043) (xy 150.687445 109.846901) (xy 150.841788 109.76826) (xy 150.981928 109.666442) + (xy 151.104414 109.543956) (xy 151.206232 109.403816) (xy 151.284873 109.249473) (xy 151.338402 109.084729) + (xy 151.358968 108.954878) (xy 153.8145 108.954878) (xy 153.832462 109.091303) (xy 153.847874 109.208368) + (xy 153.900244 109.403816) (xy 153.91405 109.45534) (xy 153.914053 109.45535) (xy 154.011894 109.691559) + (xy 154.011899 109.69157) (xy 154.139734 109.912985) (xy 154.139745 109.913001) (xy 154.295388 110.115839) + (xy 154.295394 110.115846) (xy 154.476181 110.296633) (xy 154.476187 110.296638) (xy 154.679035 110.452289) + (xy 154.679042 110.452293) (xy 154.900457 110.580128) (xy 154.900462 110.58013) (xy 154.900465 110.580132) + (xy 155.136687 110.677978) (xy 155.38366 110.744154) (xy 155.637157 110.777528) (xy 155.637164 110.777528) + (xy 155.892836 110.777528) (xy 155.892843 110.777528) (xy 156.14634 110.744154) (xy 156.393313 110.677978) + (xy 156.629535 110.580132) (xy 156.850965 110.452289) (xy 157.053813 110.296638) (xy 157.23461 110.115841) + (xy 157.390261 109.912993) (xy 157.518104 109.691563) (xy 157.61595 109.455341) (xy 157.682126 109.208368) + (xy 157.7155 108.954871) (xy 157.7155 108.913639) (xy 160.1645 108.913639) (xy 160.191598 109.084729) + (xy 160.245127 109.249473) (xy 160.323768 109.403816) (xy 160.425586 109.543956) (xy 160.548072 109.666442) + (xy 160.688212 109.76826) (xy 160.842555 109.846901) (xy 161.007299 109.90043) (xy 161.178389 109.927528) + (xy 161.17839 109.927528) (xy 161.35161 109.927528) (xy 161.351611 109.927528) (xy 161.522701 109.90043) + (xy 161.529143 109.898337) (xy 163.8495 109.898337) (xy 163.849501 109.898355) (xy 163.85965 109.997707) + (xy 163.859651 109.99771) (xy 163.912996 110.158694) (xy 163.913001 110.158705) (xy 164.002029 110.30304) + (xy 164.002032 110.303044) (xy 164.121955 110.422967) (xy 164.121959 110.42297) (xy 164.266294 110.511998) + (xy 164.266297 110.511999) (xy 164.266303 110.512003) (xy 164.427292 110.565349) (xy 164.526655 110.5755) + (xy 165.373344 110.575499) (xy 165.373352 110.575498) (xy 165.373355 110.575498) (xy 165.42776 110.56994) + (xy 165.472708 110.565349) (xy 165.633697 110.512003) (xy 165.778044 110.422968) (xy 165.897968 110.303044) + (xy 165.987003 110.158697) (xy 166.040349 109.997708) (xy 166.0505 109.898345) (xy 166.050499 109.351656) + (xy 166.040349 109.252292) (xy 165.987003 109.091303) (xy 165.986999 109.091297) (xy 165.986998 109.091294) + (xy 165.89797 108.946959) (xy 165.897967 108.946955) (xy 165.778044 108.827032) (xy 165.77804 108.827029) + (xy 165.633705 108.738001) (xy 165.633699 108.737998) (xy 165.633697 108.737997) (xy 165.614845 108.73175) + (xy 165.472709 108.684651) (xy 165.373346 108.6745) (xy 164.526662 108.6745) (xy 164.526644 108.674501) + (xy 164.427292 108.68465) (xy 164.427289 108.684651) (xy 164.266305 108.737996) (xy 164.266294 108.738001) + (xy 164.121959 108.827029) (xy 164.121955 108.827032) (xy 164.002032 108.946955) (xy 164.002029 108.946959) + (xy 163.913001 109.091294) (xy 163.912996 109.091305) (xy 163.859651 109.25229) (xy 163.8495 109.351647) + (xy 163.8495 109.898337) (xy 161.529143 109.898337) (xy 161.687445 109.846901) (xy 161.841788 109.76826) + (xy 161.981928 109.666442) (xy 162.104414 109.543956) (xy 162.206232 109.403816) (xy 162.284873 109.249473) + (xy 162.338402 109.084729) (xy 162.3655 108.913639) (xy 162.3655 108.740417) (xy 162.338402 108.569327) + (xy 162.284873 108.404583) (xy 162.206232 108.25024) (xy 162.104414 108.1101) (xy 161.981928 107.987614) + (xy 161.841788 107.885796) (xy 161.687445 107.807155) (xy 161.522701 107.753626) (xy 161.522699 107.753625) + (xy 161.522698 107.753625) (xy 161.391271 107.732809) (xy 161.351611 107.726528) (xy 161.178389 107.726528) + (xy 161.138728 107.732809) (xy 161.007302 107.753625) (xy 160.842552 107.807156) (xy 160.688211 107.885796) + (xy 160.637565 107.922593) (xy 160.548072 107.987614) (xy 160.54807 107.987616) (xy 160.548069 107.987616) + (xy 160.425588 108.110097) (xy 160.425588 108.110098) (xy 160.425586 108.1101) (xy 160.381859 108.170284) + (xy 160.323768 108.250239) (xy 160.245128 108.40458) (xy 160.191597 108.56933) (xy 160.170748 108.700966) + (xy 160.1645 108.740417) (xy 160.1645 108.913639) (xy 157.7155 108.913639) (xy 157.7155 108.699185) + (xy 157.682126 108.445688) (xy 157.61595 108.198715) (xy 157.518104 107.962493) (xy 157.518102 107.96249) + (xy 157.5181 107.962485) (xy 157.395776 107.750616) (xy 157.390261 107.741063) (xy 157.252041 107.560931) + (xy 157.234611 107.538216) (xy 157.234605 107.538209) (xy 157.053818 107.357422) (xy 157.053811 107.357416) + (xy 156.850973 107.201773) (xy 156.850971 107.201771) (xy 156.850965 107.201767) (xy 156.85096 107.201764) + (xy 156.850957 107.201762) (xy 156.629542 107.073927) (xy 156.629531 107.073922) (xy 156.393322 106.976081) + (xy 156.393315 106.976079) (xy 156.393313 106.976078) (xy 156.202657 106.924992) (xy 168.525 106.924992) + (xy 168.527407 107.023252) (xy 168.527408 107.023261) (xy 168.565742 107.215979) (xy 168.565744 107.215987) + (xy 168.640943 107.397533) (xy 168.640948 107.397543) (xy 168.750118 107.560927) (xy 168.750121 107.560931) + (xy 168.889068 107.699878) (xy 168.889072 107.699881) (xy 169.052456 107.809051) (xy 169.05246 107.809053) + (xy 169.052463 107.809055) (xy 169.234013 107.884256) (xy 169.426746 107.922593) (xy 169.525 107.925) + (xy 173.524992 107.925) (xy 173.525 107.925) (xy 173.623254 107.922593) (xy 173.815987 107.884256) + (xy 173.997537 107.809055) (xy 174.160928 107.699881) (xy 174.299881 107.560928) (xy 174.409055 107.397537) + (xy 174.484256 107.215987) (xy 174.522593 107.023254) (xy 174.525 106.925) (xy 174.525 102.925) + (xy 174.522593 102.826746) (xy 174.484256 102.634013) (xy 174.409055 102.452463) (xy 174.409053 102.45246) + (xy 174.409051 102.452456) (xy 174.299881 102.289072) (xy 174.299878 102.289068) (xy 174.160931 102.150121) + (xy 174.160927 102.150118) (xy 173.997543 102.040948) (xy 173.997533 102.040943) (xy 173.815987 101.965744) + (xy 173.815979 101.965742) (xy 173.623261 101.927408) (xy 173.623256 101.927407) (xy 173.623254 101.927407) + (xy 173.525 101.925) (xy 173.524992 101.925) (xy 169.525008 101.925) (xy 169.525 101.925) (xy 169.426746 101.927407) + (xy 169.426744 101.927407) (xy 169.426738 101.927408) (xy 169.23402 101.965742) (xy 169.234012 101.965744) + (xy 169.052466 102.040943) (xy 169.052456 102.040948) (xy 168.889072 102.150118) (xy 168.889068 102.150121) + (xy 168.750121 102.289068) (xy 168.750118 102.289072) (xy 168.640948 102.452456) (xy 168.640943 102.452466) + (xy 168.565744 102.634012) (xy 168.565742 102.63402) (xy 168.527408 102.826738) (xy 168.527407 102.826744) + (xy 168.527407 102.826746) (xy 168.526912 102.846974) (xy 168.525 102.925007) (xy 168.525 106.924992) + (xy 156.202657 106.924992) (xy 156.14634 106.909902) (xy 156.090007 106.902485) (xy 155.89285 106.876528) + (xy 155.892843 106.876528) (xy 155.637157 106.876528) (xy 155.637149 106.876528) (xy 155.411826 106.906193) + (xy 155.38366 106.909902) (xy 155.160546 106.969685) (xy 155.136687 106.976078) (xy 155.136677 106.976081) + (xy 154.900468 107.073922) (xy 154.900457 107.073927) (xy 154.679042 107.201762) (xy 154.679026 107.201773) + (xy 154.476188 107.357416) (xy 154.476181 107.357422) (xy 154.295394 107.538209) (xy 154.295388 107.538216) + (xy 154.139745 107.741054) (xy 154.139734 107.74107) (xy 154.011899 107.962485) (xy 154.011894 107.962496) + (xy 153.914053 108.198705) (xy 153.91405 108.198715) (xy 153.882975 108.314691) (xy 153.847874 108.445689) + (xy 153.8145 108.699177) (xy 153.8145 108.954878) (xy 151.358968 108.954878) (xy 151.3655 108.913639) + (xy 151.3655 108.740417) (xy 151.338402 108.569327) (xy 151.284873 108.404583) (xy 151.206232 108.25024) + (xy 151.104414 108.1101) (xy 150.981928 107.987614) (xy 150.841788 107.885796) (xy 150.687445 107.807155) + (xy 150.522701 107.753626) (xy 150.522699 107.753625) (xy 150.522698 107.753625) (xy 150.391271 107.732809) + (xy 150.351611 107.726528) (xy 150.178389 107.726528) (xy 150.138728 107.732809) (xy 150.007302 107.753625) + (xy 149.842552 107.807156) (xy 149.688211 107.885796) (xy 149.637565 107.922593) (xy 149.548072 107.987614) + (xy 149.54807 107.987616) (xy 149.548069 107.987616) (xy 149.425588 108.110097) (xy 149.425588 108.110098) + (xy 149.425586 108.1101) (xy 149.381859 108.170284) (xy 149.323768 108.250239) (xy 149.245128 108.40458) + (xy 149.191597 108.56933) (xy 149.170748 108.700966) (xy 149.1645 108.740417) (xy 149.1645 108.913639) + (xy 104.779607 108.913639) (xy 104.668671 108.846337) (xy 104.585235 108.798307) (xy 104.585232 108.798305) + (xy 104.585229 108.798304) (xy 104.531036 108.778341) (xy 104.404559 108.73175) (xy 104.214488 108.700966) + (xy 104.037473 108.706599) (xy 104.022047 108.70709) (xy 104.022044 108.70709) (xy 104.02204 108.707091) + (xy 103.834326 108.749893) (xy 103.834325 108.749893) (xy 103.834324 108.749894) (xy 103.746285 108.788846) + (xy 103.658246 108.827799) (xy 103.500312 108.937931) (xy 103.500306 108.937937) (xy 103.363565 109.0791) + (xy 103.302782 109.113557) (xy 103.23302 109.109681) (xy 103.176428 109.068705) (xy 103.150972 109.003638) + (xy 103.1505 108.992825) (xy 103.1505 107.948337) (xy 145.5245 107.948337) (xy 145.524501 107.948355) + (xy 145.53465 108.047707) (xy 145.534651 108.04771) (xy 145.587996 108.208694) (xy 145.588001 108.208705) + (xy 145.677029 108.35304) (xy 145.677032 108.353044) (xy 145.796955 108.472967) (xy 145.796959 108.47297) + (xy 145.941294 108.561998) (xy 145.941297 108.561999) (xy 145.941303 108.562003) (xy 146.102292 108.615349) + (xy 146.201655 108.6255) (xy 147.048344 108.625499) (xy 147.048352 108.625498) (xy 147.048355 108.625498) + (xy 147.10276 108.61994) (xy 147.147708 108.615349) (xy 147.308697 108.562003) (xy 147.453044 108.472968) + (xy 147.572968 108.353044) (xy 147.662003 108.208697) (xy 147.715349 108.047708) (xy 147.7255 107.948345) + (xy 147.725499 107.401656) (xy 147.715349 107.302292) (xy 147.662003 107.141303) (xy 147.661999 107.141297) + (xy 147.661998 107.141294) (xy 147.57297 106.996959) (xy 147.572967 106.996955) (xy 147.453044 106.877032) + (xy 147.45304 106.877029) (xy 147.308705 106.788001) (xy 147.308699 106.787998) (xy 147.308697 106.787997) + (xy 147.308694 106.787996) (xy 147.147709 106.734651) (xy 147.048346 106.7245) (xy 146.201662 106.7245) + (xy 146.201644 106.724501) (xy 146.102292 106.73465) (xy 146.102289 106.734651) (xy 145.941305 106.787996) + (xy 145.941294 106.788001) (xy 145.796959 106.877029) (xy 145.796955 106.877032) (xy 145.677032 106.996955) + (xy 145.677029 106.996959) (xy 145.588001 107.141294) (xy 145.587996 107.141305) (xy 145.534651 107.30229) + (xy 145.5245 107.401647) (xy 145.5245 107.948337) (xy 103.1505 107.948337) (xy 103.1505 104.648337) + (xy 145.5245 104.648337) (xy 145.524501 104.648355) (xy 145.53465 104.747707) (xy 145.534651 104.74771) + (xy 145.587996 104.908694) (xy 145.588001 104.908705) (xy 145.677029 105.05304) (xy 145.677032 105.053044) + (xy 145.796955 105.172967) (xy 145.796959 105.17297) (xy 145.941294 105.261998) (xy 145.941297 105.261999) + (xy 145.941303 105.262003) (xy 146.102292 105.315349) (xy 146.201655 105.3255) (xy 147.048344 105.325499) + (xy 147.048352 105.325498) (xy 147.048355 105.325498) (xy 147.10276 105.31994) (xy 147.147708 105.315349) + (xy 147.308697 105.262003) (xy 147.453044 105.172968) (xy 147.572968 105.053044) (xy 147.589012 105.027033) + (xy 149.259357 105.027033) (xy 149.27989 105.27484) (xy 149.279892 105.274852) (xy 149.340936 105.515909) + (xy 149.440826 105.743634) (xy 149.576833 105.95181) (xy 149.576836 105.951813) (xy 149.745256 106.134766) + (xy 149.941491 106.287502) (xy 150.16019 106.405856) (xy 150.395386 106.486599) (xy 150.640665 106.527528) + (xy 150.889335 106.527528) (xy 151.134614 106.486599) (xy 151.36981 106.405856) (xy 151.588509 106.287502) + (xy 151.784744 106.134766) (xy 151.953164 105.951813) (xy 152.089173 105.743635) (xy 152.189063 105.515909) + (xy 152.250108 105.274849) (xy 152.250109 105.27484) (xy 152.270643 105.027033) (xy 159.259357 105.027033) + (xy 159.27989 105.27484) (xy 159.279892 105.274852) (xy 159.340936 105.515909) (xy 159.440826 105.743634) + (xy 159.576833 105.95181) (xy 159.576836 105.951813) (xy 159.745256 106.134766) (xy 159.941491 106.287502) + (xy 160.16019 106.405856) (xy 160.395386 106.486599) (xy 160.640665 106.527528) (xy 160.889335 106.527528) + (xy 161.134614 106.486599) (xy 161.36981 106.405856) (xy 161.588509 106.287502) (xy 161.784744 106.134766) + (xy 161.953164 105.951813) (xy 162.089173 105.743635) (xy 162.189063 105.515909) (xy 162.250108 105.274849) + (xy 162.250109 105.27484) (xy 162.270643 105.027033) (xy 162.270643 105.027022) (xy 162.250109 104.779215) + (xy 162.250107 104.779203) (xy 162.189063 104.538146) (xy 162.089173 104.310421) (xy 161.953166 104.102245) + (xy 161.891047 104.034766) (xy 161.784744 103.91929) (xy 161.588509 103.766554) (xy 161.588507 103.766553) + (xy 161.588506 103.766552) (xy 161.369811 103.6482) (xy 161.369802 103.648197) (xy 161.134616 103.567457) + (xy 160.889335 103.526528) (xy 160.640665 103.526528) (xy 160.395383 103.567457) (xy 160.160197 103.648197) + (xy 160.160188 103.6482) (xy 159.941493 103.766552) (xy 159.745257 103.919289) (xy 159.576833 104.102245) + (xy 159.440826 104.310421) (xy 159.340936 104.538146) (xy 159.279892 104.779203) (xy 159.27989 104.779215) + (xy 159.259357 105.027022) (xy 159.259357 105.027033) (xy 152.270643 105.027033) (xy 152.270643 105.027022) + (xy 152.250109 104.779215) (xy 152.250108 104.779211) (xy 152.250108 104.779207) (xy 152.242131 104.747708) + (xy 152.188992 104.537867) (xy 152.191616 104.468046) (xy 152.221514 104.419747) (xy 153.13052 103.510744) + (xy 153.209577 103.373812) (xy 153.250501 103.221085) (xy 153.250501 103.06297) (xy 153.250501 103.055375) + (xy 153.2505 103.055357) (xy 153.2505 102.927033) (xy 154.259357 102.927033) (xy 154.27989 103.17484) + (xy 154.279892 103.174852) (xy 154.340936 103.415909) (xy 154.440826 103.643634) (xy 154.576833 103.85181) + (xy 154.584623 103.860272) (xy 154.745256 104.034766) (xy 154.941491 104.187502) (xy 155.16019 104.305856) + (xy 155.395386 104.386599) (xy 155.640665 104.427528) (xy 155.889335 104.427528) (xy 156.134614 104.386599) + (xy 156.36981 104.305856) (xy 156.588509 104.187502) (xy 156.784744 104.034766) (xy 156.953164 103.851813) + (xy 157.089173 103.643635) (xy 157.189063 103.415909) (xy 157.250108 103.174849) (xy 157.258718 103.070943) + (xy 157.270643 102.927033) (xy 157.270643 102.927022) (xy 157.250109 102.679215) (xy 157.250107 102.679203) + (xy 157.189063 102.438146) (xy 157.089173 102.210421) (xy 156.953166 102.002245) (xy 156.893342 101.937259) + (xy 156.784744 101.81929) (xy 156.588509 101.666554) (xy 156.588507 101.666553) (xy 156.588506 101.666552) + (xy 156.369811 101.5482) (xy 156.369802 101.548197) (xy 156.134616 101.467457) (xy 155.889335 101.426528) + (xy 155.640665 101.426528) (xy 155.395383 101.467457) (xy 155.160197 101.548197) (xy 155.160188 101.5482) + (xy 154.941493 101.666552) (xy 154.745257 101.819289) (xy 154.576833 102.002245) (xy 154.440826 102.210421) + (xy 154.340936 102.438146) (xy 154.279892 102.679203) (xy 154.27989 102.679215) (xy 154.259357 102.927022) + (xy 154.259357 102.927033) (xy 153.2505 102.927033) (xy 153.2505 95.598337) (xy 163.6495 95.598337) + (xy 163.649501 95.598355) (xy 163.65965 95.697707) (xy 163.659651 95.69771) (xy 163.712996 95.858694) + (xy 163.713001 95.858705) (xy 163.802029 96.00304) (xy 163.802032 96.003044) (xy 163.921955 96.122967) + (xy 163.921959 96.12297) (xy 164.066294 96.211998) (xy 164.066297 96.211999) (xy 164.066303 96.212003) + (xy 164.227292 96.265349) (xy 164.326655 96.2755) (xy 165.173344 96.275499) (xy 165.173352 96.275498) + (xy 165.173355 96.275498) (xy 165.236017 96.269097) (xy 165.272708 96.265349) (xy 165.433697 96.212003) + (xy 165.578044 96.122968) (xy 165.697968 96.003044) (xy 165.787003 95.858697) (xy 165.840349 95.697708) + (xy 165.8505 95.598345) (xy 165.850499 95.051656) (xy 165.843654 94.984651) (xy 165.840349 94.952292) + (xy 165.840348 94.952289) (xy 165.83771 94.944327) (xy 165.787003 94.791303) (xy 165.786999 94.791297) + (xy 165.786998 94.791294) (xy 165.69797 94.646959) (xy 165.697967 94.646955) (xy 165.578044 94.527032) + (xy 165.57804 94.527029) (xy 165.433705 94.438001) (xy 165.433699 94.437998) (xy 165.433697 94.437997) + (xy 165.433694 94.437996) (xy 165.272709 94.384651) (xy 165.173346 94.3745) (xy 164.326662 94.3745) + (xy 164.326644 94.374501) (xy 164.227292 94.38465) (xy 164.227289 94.384651) (xy 164.066305 94.437996) + (xy 164.066294 94.438001) (xy 163.921959 94.527029) (xy 163.921955 94.527032) (xy 163.802032 94.646955) + (xy 163.802029 94.646959) (xy 163.713001 94.791294) (xy 163.712996 94.791305) (xy 163.659651 94.95229) + (xy 163.6495 95.051647) (xy 163.6495 95.598337) (xy 153.2505 95.598337) (xy 153.2505 92.298337) + (xy 163.6495 92.298337) (xy 163.649501 92.298355) (xy 163.65965 92.397707) (xy 163.659651 92.39771) + (xy 163.712996 92.558694) (xy 163.713001 92.558705) (xy 163.802029 92.70304) (xy 163.802032 92.703044) + (xy 163.921955 92.822967) (xy 163.921959 92.82297) (xy 164.066294 92.911998) (xy 164.066297 92.911999) + (xy 164.066303 92.912003) (xy 164.227292 92.965349) (xy 164.326655 92.9755) (xy 165.173344 92.975499) + (xy 165.173352 92.975498) (xy 165.173355 92.975498) (xy 165.22776 92.96994) (xy 165.272708 92.965349) + (xy 165.433697 92.912003) (xy 165.578044 92.822968) (xy 165.697968 92.703044) (xy 165.787003 92.558697) + (xy 165.840349 92.397708) (xy 165.8505 92.298345) (xy 165.850499 91.751656) (xy 165.840349 91.652292) + (xy 165.787003 91.491303) (xy 165.786999 91.491297) (xy 165.786998 91.491294) (xy 165.69797 91.346959) + (xy 165.697967 91.346955) (xy 165.578044 91.227032) (xy 165.57804 91.227029) (xy 165.433705 91.138001) + (xy 165.433699 91.137998) (xy 165.433697 91.137997) (xy 165.433694 91.137996) (xy 165.272709 91.084651) + (xy 165.173346 91.0745) (xy 164.326662 91.0745) (xy 164.326644 91.074501) (xy 164.227292 91.08465) + (xy 164.227289 91.084651) (xy 164.066305 91.137996) (xy 164.066294 91.138001) (xy 163.921959 91.227029) + (xy 163.921955 91.227032) (xy 163.802032 91.346955) (xy 163.802029 91.346959) (xy 163.713001 91.491294) + (xy 163.712996 91.491305) (xy 163.659651 91.65229) (xy 163.6495 91.751647) (xy 163.6495 92.298337) + (xy 153.2505 92.298337) (xy 153.2505 90.417878) (xy 153.8145 90.417878) (xy 153.833541 90.562502) + (xy 153.847874 90.671368) (xy 153.91405 90.91834) (xy 153.914053 90.91835) (xy 154.011894 91.154559) + (xy 154.011899 91.15457) (xy 154.139734 91.375985) (xy 154.139745 91.376001) (xy 154.295388 91.578839) + (xy 154.295394 91.578846) (xy 154.476181 91.759633) (xy 154.476188 91.759639) (xy 154.564663 91.827528) + (xy 154.679035 91.915289) (xy 154.679042 91.915293) (xy 154.900457 92.043128) (xy 154.900462 92.04313) + (xy 154.900465 92.043132) (xy 154.985342 92.078289) (xy 155.082743 92.118634) (xy 155.136687 92.140978) + (xy 155.38366 92.207154) (xy 155.637157 92.240528) (xy 155.637164 92.240528) (xy 155.892836 92.240528) + (xy 155.892843 92.240528) (xy 156.14634 92.207154) (xy 156.393313 92.140978) (xy 156.629535 92.043132) + (xy 156.850965 91.915289) (xy 157.053813 91.759638) (xy 157.23461 91.578841) (xy 157.390261 91.375993) + (xy 157.518104 91.154563) (xy 157.61595 90.918341) (xy 157.682126 90.671368) (xy 157.7155 90.417871) + (xy 157.7155 90.376639) (xy 160.1645 90.376639) (xy 160.191598 90.547729) (xy 160.245127 90.712473) + (xy 160.323768 90.866816) (xy 160.425586 91.006956) (xy 160.548072 91.129442) (xy 160.688212 91.23126) + (xy 160.842555 91.309901) (xy 161.007299 91.36343) (xy 161.178389 91.390528) (xy 161.17839 91.390528) + (xy 161.35161 91.390528) (xy 161.351611 91.390528) (xy 161.522701 91.36343) (xy 161.687445 91.309901) + (xy 161.841788 91.23126) (xy 161.981928 91.129442) (xy 162.104414 91.006956) (xy 162.206232 90.866816) + (xy 162.284873 90.712473) (xy 162.338402 90.547729) (xy 162.3655 90.376639) (xy 162.3655 90.203417) + (xy 162.338402 90.032327) (xy 162.284873 89.867583) (xy 162.206232 89.71324) (xy 162.104414 89.5731) + (xy 161.981928 89.450614) (xy 161.841788 89.348796) (xy 161.687445 89.270155) (xy 161.522701 89.216626) + (xy 161.522699 89.216625) (xy 161.522698 89.216625) (xy 161.391271 89.195809) (xy 161.351611 89.189528) + (xy 161.178389 89.189528) (xy 161.138728 89.195809) (xy 161.007302 89.216625) (xy 160.842552 89.270156) + (xy 160.688211 89.348796) (xy 160.608256 89.406887) (xy 160.548072 89.450614) (xy 160.54807 89.450616) + (xy 160.548069 89.450616) (xy 160.425588 89.573097) (xy 160.425588 89.573098) (xy 160.425586 89.5731) + (xy 160.416557 89.585528) (xy 160.323768 89.713239) (xy 160.245128 89.86758) (xy 160.191597 90.03233) + (xy 160.166558 90.190424) (xy 160.1645 90.203417) (xy 160.1645 90.376639) (xy 157.7155 90.376639) + (xy 157.7155 90.162185) (xy 157.682126 89.908688) (xy 157.61595 89.661715) (xy 157.518104 89.425493) + (xy 157.518102 89.42549) (xy 157.5181 89.425485) (xy 157.390265 89.20407) (xy 157.390261 89.204063) + (xy 157.345452 89.145666) (xy 157.234611 89.001216) (xy 157.234605 89.001209) (xy 157.053818 88.820422) + (xy 157.053811 88.820416) (xy 156.850973 88.664773) (xy 156.850971 88.664771) (xy 156.850965 88.664767) + (xy 156.85096 88.664764) (xy 156.850957 88.664762) (xy 156.629542 88.536927) (xy 156.629531 88.536922) + (xy 156.393322 88.439081) (xy 156.393315 88.439079) (xy 156.393313 88.439078) (xy 156.14634 88.372902) + (xy 156.090007 88.365485) (xy 155.89285 88.339528) (xy 155.892843 88.339528) (xy 155.637157 88.339528) + (xy 155.637149 88.339528) (xy 155.411826 88.369193) (xy 155.38366 88.372902) (xy 155.226548 88.415) + (xy 155.136687 88.439078) (xy 155.136677 88.439081) (xy 154.900468 88.536922) (xy 154.900457 88.536927) + (xy 154.679042 88.664762) (xy 154.679026 88.664773) (xy 154.476188 88.820416) (xy 154.476181 88.820422) + (xy 154.295394 89.001209) (xy 154.295388 89.001216) (xy 154.139745 89.204054) (xy 154.139734 89.20407) + (xy 154.011899 89.425485) (xy 154.011894 89.425496) (xy 153.914053 89.661705) (xy 153.91405 89.661715) + (xy 153.873263 89.813937) (xy 153.847874 89.908689) (xy 153.8145 90.162177) (xy 153.8145 90.417878) + (xy 153.2505 90.417878) (xy 153.2505 88.464088) (xy 153.250501 88.464075) (xy 153.250501 88.295972) + (xy 153.233814 88.233697) (xy 153.209577 88.143244) (xy 153.209573 88.143237) (xy 153.130524 88.006318) + (xy 153.130518 88.00631) (xy 152.701736 87.577528) (xy 152.221515 87.097308) (xy 152.188031 87.035986) + (xy 152.188992 86.979187) (xy 152.189061 86.978912) (xy 152.189063 86.978909) (xy 152.250108 86.737849) + (xy 152.258251 86.639576) (xy 152.270643 86.490033) (xy 159.259357 86.490033) (xy 159.27989 86.73784) + (xy 159.279892 86.737852) (xy 159.340936 86.978909) (xy 159.440826 87.206634) (xy 159.576833 87.41481) + (xy 159.576836 87.414813) (xy 159.745256 87.597766) (xy 159.941491 87.750502) (xy 160.16019 87.868856) + (xy 160.395386 87.949599) (xy 160.640665 87.990528) (xy 160.889335 87.990528) (xy 161.134614 87.949599) + (xy 161.36981 87.868856) (xy 161.588509 87.750502) (xy 161.784744 87.597766) (xy 161.953164 87.414813) + (xy 162.089173 87.206635) (xy 162.189063 86.978909) (xy 162.250108 86.737849) (xy 162.258251 86.639576) + (xy 162.270643 86.490033) (xy 162.270643 86.490022) (xy 162.250109 86.242215) (xy 162.250107 86.242203) + (xy 162.189063 86.001146) (xy 162.089173 85.773421) (xy 161.953166 85.565245) (xy 161.891047 85.497766) + (xy 161.784744 85.38229) (xy 161.588509 85.229554) (xy 161.588507 85.229553) (xy 161.588506 85.229552) + (xy 161.369811 85.1112) (xy 161.369802 85.111197) (xy 161.134616 85.030457) (xy 160.889335 84.989528) + (xy 160.640665 84.989528) (xy 160.395383 85.030457) (xy 160.160197 85.111197) (xy 160.160188 85.1112) + (xy 159.941493 85.229552) (xy 159.745257 85.382289) (xy 159.576833 85.565245) (xy 159.440826 85.773421) + (xy 159.340936 86.001146) (xy 159.279892 86.242203) (xy 159.27989 86.242215) (xy 159.259357 86.490022) + (xy 159.259357 86.490033) (xy 152.270643 86.490033) (xy 152.270643 86.490022) (xy 152.250109 86.242215) + (xy 152.250107 86.242203) (xy 152.189063 86.001146) (xy 152.089173 85.773421) (xy 151.953166 85.565245) + (xy 151.891047 85.497766) (xy 151.784744 85.38229) (xy 151.588509 85.229554) (xy 151.588507 85.229553) + (xy 151.588506 85.229552) (xy 151.369811 85.1112) (xy 151.369802 85.111197) (xy 151.134616 85.030457) + (xy 150.889335 84.989528) (xy 150.640665 84.989528) (xy 150.395383 85.030457) (xy 150.160197 85.111197) + (xy 150.160188 85.1112) (xy 149.941493 85.229552) (xy 149.745257 85.382289) (xy 149.576833 85.565245) + (xy 149.440826 85.773421) (xy 149.340936 86.001146) (xy 149.279892 86.242203) (xy 149.27989 86.242215) + (xy 149.259357 86.490022) (xy 149.259357 86.490033) (xy 149.27989 86.73784) (xy 149.279892 86.737852) + (xy 149.340936 86.978909) (xy 149.440826 87.206634) (xy 149.576833 87.41481) (xy 149.576836 87.414813) + (xy 149.745256 87.597766) (xy 149.941491 87.750502) (xy 150.16019 87.868856) (xy 150.395386 87.949599) + (xy 150.640665 87.990528) (xy 150.889335 87.990528) (xy 151.134608 87.9496) (xy 151.134607 87.9496) + (xy 151.134614 87.949599) (xy 151.240607 87.91321) (xy 151.310402 87.910061) (xy 151.368548 87.942811) + (xy 152.013181 88.587444) (xy 152.046666 88.648767) (xy 152.0495 88.675125) (xy 152.0495 102.84193) + (xy 152.029815 102.908969) (xy 152.013181 102.929611) (xy 151.368548 103.574243) (xy 151.307225 103.607728) + (xy 151.240604 103.603843) (xy 151.134616 103.567457) (xy 150.889335 103.526528) (xy 150.640665 103.526528) + (xy 150.395383 103.567457) (xy 150.160197 103.648197) (xy 150.160188 103.6482) (xy 149.941493 103.766552) + (xy 149.745257 103.919289) (xy 149.576833 104.102245) (xy 149.440826 104.310421) (xy 149.340936 104.538146) + (xy 149.279892 104.779203) (xy 149.27989 104.779215) (xy 149.259357 105.027022) (xy 149.259357 105.027033) + (xy 147.589012 105.027033) (xy 147.662003 104.908697) (xy 147.715349 104.747708) (xy 147.7255 104.648345) + (xy 147.725499 104.101656) (xy 147.72428 104.089727) (xy 147.715349 104.002292) (xy 147.715348 104.002289) + (xy 147.687845 103.91929) (xy 147.662003 103.841303) (xy 147.661999 103.841297) (xy 147.661998 103.841294) + (xy 147.57297 103.696959) (xy 147.572967 103.696955) (xy 147.453044 103.577032) (xy 147.45304 103.577029) + (xy 147.308705 103.488001) (xy 147.308699 103.487998) (xy 147.308697 103.487997) (xy 147.308694 103.487996) + (xy 147.147709 103.434651) (xy 147.048346 103.4245) (xy 146.201662 103.4245) (xy 146.201644 103.424501) + (xy 146.102292 103.43465) (xy 146.102289 103.434651) (xy 145.941305 103.487996) (xy 145.941294 103.488001) + (xy 145.796959 103.577029) (xy 145.796955 103.577032) (xy 145.677032 103.696955) (xy 145.677029 103.696959) + (xy 145.588001 103.841294) (xy 145.587996 103.841305) (xy 145.534651 104.00229) (xy 145.5245 104.101647) + (xy 145.5245 104.648337) (xy 103.1505 104.648337) (xy 103.1505 103.450096) (xy 103.170185 103.383057) + (xy 103.186814 103.36242) (xy 103.734493 102.814741) (xy 120.1745 102.814741) (xy 120.189624 102.929611) + (xy 120.204452 103.042238) (xy 120.25451 103.22906) (xy 120.263842 103.263887) (xy 120.35165 103.475876) + (xy 120.351657 103.47589) (xy 120.358647 103.487997) (xy 120.448504 103.643635) (xy 120.466392 103.674617) + (xy 120.606081 103.856661) (xy 120.606089 103.85667) (xy 120.76833 104.018911) (xy 120.768338 104.018918) + (xy 120.950382 104.158607) (xy 120.950385 104.158608) (xy 120.950388 104.158611) (xy 121.149112 104.273344) + (xy 121.149117 104.273346) (xy 121.149123 104.273349) (xy 121.227607 104.305858) (xy 121.361113 104.361158) + (xy 121.582762 104.420548) (xy 121.810266 104.4505) (xy 121.810273 104.4505) (xy 122.039727 104.4505) + (xy 122.039734 104.4505) (xy 122.267238 104.420548) (xy 122.488887 104.361158) (xy 122.700888 104.273344) + (xy 122.899612 104.158611) (xy 123.081661 104.018919) (xy 123.081665 104.018914) (xy 123.08167 104.018911) + (xy 123.243911 103.85667) (xy 123.243914 103.856665) (xy 123.243919 103.856661) (xy 123.383611 103.674612) + (xy 123.498344 103.475888) (xy 123.586158 103.263887) (xy 123.645548 103.042238) (xy 123.6755 102.814734) + (xy 123.6755 102.585266) (xy 123.645548 102.357762) (xy 123.586158 102.136113) (xy 123.50379 101.937259) + (xy 123.498349 101.924123) (xy 123.498346 101.924117) (xy 123.498344 101.924112) (xy 123.383611 101.725388) + (xy 123.383608 101.725385) (xy 123.383607 101.725382) (xy 123.247649 101.5482) (xy 123.243919 101.543339) + (xy 123.243918 101.543338) (xy 123.243911 101.54333) (xy 123.08167 101.381089) (xy 123.081661 101.381081) + (xy 122.899617 101.241392) (xy 122.70089 101.126657) (xy 122.700876 101.12665) (xy 122.594621 101.082638) + (xy 130.9645 101.082638) (xy 130.989643 101.241389) (xy 130.991598 101.253729) (xy 131.045127 101.418473) + (xy 131.123768 101.572816) (xy 131.225586 101.712956) (xy 131.348072 101.835442) (xy 131.488212 101.93726) + (xy 131.642555 102.015901) (xy 131.807299 102.06943) (xy 131.978389 102.096528) (xy 131.97839 102.096528) + (xy 132.15161 102.096528) (xy 132.151611 102.096528) (xy 132.322701 102.06943) (xy 132.487445 102.015901) + (xy 132.641788 101.93726) (xy 132.781928 101.835442) (xy 132.904414 101.712956) (xy 133.006232 101.572816) + (xy 133.084873 101.418473) (xy 133.138402 101.253729) (xy 133.158968 101.123878) (xy 135.6145 101.123878) + (xy 135.629972 101.241392) (xy 135.647874 101.377368) (xy 135.693648 101.5482) (xy 135.71405 101.62434) + (xy 135.714053 101.62435) (xy 135.811894 101.860559) (xy 135.811899 101.86057) (xy 135.939734 102.081985) + (xy 135.939745 102.082001) (xy 136.095388 102.284839) (xy 136.095394 102.284846) (xy 136.276181 102.465633) + (xy 136.276187 102.465638) (xy 136.479035 102.621289) (xy 136.479042 102.621293) (xy 136.700457 102.749128) + (xy 136.700462 102.74913) (xy 136.700465 102.749132) (xy 136.778087 102.781284) (xy 136.920849 102.840418) + (xy 136.936687 102.846978) (xy 137.18366 102.913154) (xy 137.437157 102.946528) (xy 137.437164 102.946528) + (xy 137.692836 102.946528) (xy 137.692843 102.946528) (xy 137.94634 102.913154) (xy 138.193313 102.846978) + (xy 138.429535 102.749132) (xy 138.650965 102.621289) (xy 138.853813 102.465638) (xy 139.03461 102.284841) + (xy 139.190261 102.081993) (xy 139.318104 101.860563) (xy 139.41595 101.624341) (xy 139.482126 101.377368) + (xy 139.5155 101.123871) (xy 139.5155 101.082638) (xy 141.9645 101.082638) (xy 141.989643 101.241389) + (xy 141.991598 101.253729) (xy 142.045127 101.418473) (xy 142.123768 101.572816) (xy 142.225586 101.712956) + (xy 142.348072 101.835442) (xy 142.488212 101.93726) (xy 142.642555 102.015901) (xy 142.807299 102.06943) + (xy 142.978389 102.096528) (xy 142.97839 102.096528) (xy 143.15161 102.096528) (xy 143.151611 102.096528) + (xy 143.322701 102.06943) (xy 143.487445 102.015901) (xy 143.641788 101.93726) (xy 143.781928 101.835442) + (xy 143.904414 101.712956) (xy 144.006232 101.572816) (xy 144.084873 101.418473) (xy 144.138402 101.253729) + (xy 144.1655 101.082639) (xy 144.1655 100.909417) (xy 144.138402 100.738327) (xy 144.084873 100.573583) + (xy 144.006232 100.41924) (xy 143.904414 100.2791) (xy 143.781928 100.156614) (xy 143.641788 100.054796) + (xy 143.579325 100.02297) (xy 143.487447 99.976156) (xy 143.487446 99.976155) (xy 143.487445 99.976155) + (xy 143.322701 99.922626) (xy 143.322699 99.922625) (xy 143.322698 99.922625) (xy 143.191271 99.901809) + (xy 143.151611 99.895528) (xy 142.978389 99.895528) (xy 142.938728 99.901809) (xy 142.807302 99.922625) + (xy 142.642552 99.976156) (xy 142.488211 100.054796) (xy 142.40948 100.111998) (xy 142.348072 100.156614) + (xy 142.34807 100.156616) (xy 142.348069 100.156616) (xy 142.225588 100.279097) (xy 142.225588 100.279098) + (xy 142.225586 100.2791) (xy 142.191876 100.325498) (xy 142.123768 100.419239) (xy 142.045128 100.57358) + (xy 141.991597 100.73833) (xy 141.9645 100.909417) (xy 141.9645 101.082638) (xy 139.5155 101.082638) + (xy 139.5155 100.868185) (xy 139.482126 100.614688) (xy 139.41595 100.367715) (xy 139.318104 100.131493) + (xy 139.318102 100.13149) (xy 139.3181 100.131485) (xy 139.190265 99.91007) (xy 139.190261 99.910063) + (xy 139.065682 99.747709) (xy 139.034611 99.707216) (xy 139.034605 99.707209) (xy 138.853818 99.526422) + (xy 138.853811 99.526416) (xy 138.650973 99.370773) (xy 138.650971 99.370771) (xy 138.650965 99.370767) + (xy 138.65096 99.370764) (xy 138.650957 99.370762) (xy 138.429542 99.242927) (xy 138.429531 99.242922) + (xy 138.193322 99.145081) (xy 138.193315 99.145079) (xy 138.193313 99.145078) (xy 137.94634 99.078902) + (xy 137.890007 99.071485) (xy 137.69285 99.045528) (xy 137.692843 99.045528) (xy 137.437157 99.045528) + (xy 137.437149 99.045528) (xy 137.211826 99.075193) (xy 137.18366 99.078902) (xy 136.936687 99.145078) + (xy 136.936677 99.145081) (xy 136.700468 99.242922) (xy 136.700457 99.242927) (xy 136.479042 99.370762) + (xy 136.479026 99.370773) (xy 136.276188 99.526416) (xy 136.276181 99.526422) (xy 136.095394 99.707209) + (xy 136.095388 99.707216) (xy 135.939745 99.910054) (xy 135.939734 99.91007) (xy 135.811899 100.131485) + (xy 135.811894 100.131496) (xy 135.714053 100.367705) (xy 135.71405 100.367715) (xy 135.647874 100.614689) + (xy 135.6145 100.868177) (xy 135.6145 101.123878) (xy 133.158968 101.123878) (xy 133.1655 101.082639) + (xy 133.1655 100.909417) (xy 133.138402 100.738327) (xy 133.084873 100.573583) (xy 133.006232 100.41924) + (xy 132.904414 100.2791) (xy 132.781928 100.156614) (xy 132.641788 100.054796) (xy 132.579325 100.02297) + (xy 132.487447 99.976156) (xy 132.487446 99.976155) (xy 132.487445 99.976155) (xy 132.322701 99.922626) + (xy 132.322699 99.922625) (xy 132.322698 99.922625) (xy 132.191271 99.901809) (xy 132.151611 99.895528) + (xy 131.978389 99.895528) (xy 131.938728 99.901809) (xy 131.807302 99.922625) (xy 131.642552 99.976156) + (xy 131.488211 100.054796) (xy 131.40948 100.111998) (xy 131.348072 100.156614) (xy 131.34807 100.156616) + (xy 131.348069 100.156616) (xy 131.225588 100.279097) (xy 131.225588 100.279098) (xy 131.225586 100.2791) + (xy 131.191876 100.325498) (xy 131.123768 100.419239) (xy 131.045128 100.57358) (xy 130.991597 100.73833) + (xy 130.9645 100.909417) (xy 130.9645 101.082638) (xy 122.594621 101.082638) (xy 122.488887 101.038842) + (xy 122.441948 101.026265) (xy 122.267238 100.979452) (xy 122.229215 100.974446) (xy 122.039741 100.9495) + (xy 122.039734 100.9495) (xy 121.810266 100.9495) (xy 121.810258 100.9495) (xy 121.593715 100.978009) + (xy 121.582762 100.979452) (xy 121.489076 101.004554) (xy 121.361112 101.038842) (xy 121.149123 101.12665) + (xy 121.149109 101.126657) (xy 120.950382 101.241392) (xy 120.768338 101.381081) (xy 120.606081 101.543338) + (xy 120.466392 101.725382) (xy 120.351657 101.924109) (xy 120.35165 101.924123) (xy 120.263842 102.136112) + (xy 120.204453 102.357759) (xy 120.204451 102.35777) (xy 120.1745 102.585258) (xy 120.1745 102.814741) + (xy 103.734493 102.814741) (xy 104.783506 101.765727) (xy 104.783511 101.765724) (xy 104.793714 101.75552) + (xy 104.793716 101.75552) (xy 104.90552 101.643716) (xy 104.984577 101.506784) (xy 105.0255 101.354057) + (xy 105.0255 99.548337) (xy 108.9995 99.548337) (xy 108.999501 99.548355) (xy 109.00965 99.647707) + (xy 109.009651 99.64771) (xy 109.062996 99.808694) (xy 109.063001 99.808705) (xy 109.152029 99.95304) + (xy 109.152032 99.953044) (xy 109.271955 100.072967) (xy 109.271959 100.07297) (xy 109.416294 100.161998) + (xy 109.416297 100.161999) (xy 109.416303 100.162003) (xy 109.577292 100.215349) (xy 109.676655 100.2255) + (xy 110.523344 100.225499) (xy 110.523352 100.225498) (xy 110.523355 100.225498) (xy 110.57776 100.21994) + (xy 110.622708 100.215349) (xy 110.783697 100.162003) (xy 110.928044 100.072968) (xy 111.047968 99.953044) + (xy 111.137003 99.808697) (xy 111.190349 99.647708) (xy 111.2005 99.548345) (xy 111.2005 99.498337) + (xy 127.3495 99.498337) (xy 127.349501 99.498355) (xy 127.35965 99.597707) (xy 127.359651 99.59771) + (xy 127.412996 99.758694) (xy 127.413001 99.758705) (xy 127.502029 99.90304) (xy 127.502032 99.903044) + (xy 127.621955 100.022967) (xy 127.621959 100.02297) (xy 127.766294 100.111998) (xy 127.766297 100.111999) + (xy 127.766303 100.112003) (xy 127.927292 100.165349) (xy 128.026655 100.1755) (xy 128.873344 100.175499) + (xy 128.873352 100.175498) (xy 128.873355 100.175498) (xy 128.92776 100.16994) (xy 128.972708 100.165349) + (xy 129.133697 100.112003) (xy 129.278044 100.022968) (xy 129.397968 99.903044) (xy 129.487003 99.758697) + (xy 129.540349 99.597708) (xy 129.5505 99.498345) (xy 129.550499 98.951656) (xy 129.545544 98.903153) + (xy 129.540349 98.852292) (xy 129.540348 98.852289) (xy 129.527354 98.813076) (xy 129.487003 98.691303) + (xy 129.486999 98.691297) (xy 129.486998 98.691294) (xy 129.39797 98.546959) (xy 129.397967 98.546955) + (xy 129.278044 98.427032) (xy 129.27804 98.427029) (xy 129.133705 98.338001) (xy 129.133699 98.337998) + (xy 129.133697 98.337997) (xy 129.093735 98.324755) (xy 128.972709 98.284651) (xy 128.873346 98.2745) + (xy 128.026662 98.2745) (xy 128.026644 98.274501) (xy 127.927292 98.28465) (xy 127.927289 98.284651) + (xy 127.766305 98.337996) (xy 127.766294 98.338001) (xy 127.621959 98.427029) (xy 127.621955 98.427032) + (xy 127.502032 98.546955) (xy 127.502029 98.546959) (xy 127.413001 98.691294) (xy 127.412996 98.691305) + (xy 127.359651 98.85229) (xy 127.3495 98.951647) (xy 127.3495 99.498337) (xy 111.2005 99.498337) + (xy 111.200499 99.001656) (xy 111.195391 98.951655) (xy 111.190349 98.902292) (xy 111.190348 98.902289) + (xy 111.139293 98.748215) (xy 111.137003 98.741303) (xy 111.136999 98.741297) (xy 111.136998 98.741294) + (xy 111.04797 98.596959) (xy 111.047967 98.596955) (xy 110.928044 98.477032) (xy 110.92804 98.477029) + (xy 110.783705 98.388001) (xy 110.783699 98.387998) (xy 110.783697 98.387997) (xy 110.783694 98.387996) + (xy 110.622709 98.334651) (xy 110.523346 98.3245) (xy 109.676662 98.3245) (xy 109.676644 98.324501) + (xy 109.577292 98.33465) (xy 109.577289 98.334651) (xy 109.416305 98.387996) (xy 109.416294 98.388001) + (xy 109.271959 98.477029) (xy 109.271955 98.477032) (xy 109.152032 98.596955) (xy 109.152029 98.596959) + (xy 109.063001 98.741294) (xy 109.062996 98.741305) (xy 109.009651 98.90229) (xy 108.9995 99.001647) + (xy 108.9995 99.548337) (xy 105.0255 99.548337) (xy 105.0255 97.196033) (xy 131.059357 97.196033) + (xy 131.07989 97.44384) (xy 131.079892 97.443852) (xy 131.140936 97.684909) (xy 131.240826 97.912634) + (xy 131.376833 98.12081) (xy 131.379453 98.123656) (xy 131.545256 98.303766) (xy 131.741491 98.456502) + (xy 131.96019 98.574856) (xy 132.195386 98.655599) (xy 132.440665 98.696528) (xy 132.689335 98.696528) + (xy 132.934614 98.655599) (xy 133.16981 98.574856) (xy 133.388509 98.456502) (xy 133.584744 98.303766) + (xy 133.753164 98.120813) (xy 133.889173 97.912635) (xy 133.989063 97.684909) (xy 134.050108 97.443849) + (xy 134.053039 97.408475) (xy 134.070643 97.196033) (xy 141.059357 97.196033) (xy 141.07989 97.44384) + (xy 141.079892 97.443852) (xy 141.140936 97.684909) (xy 141.240826 97.912634) (xy 141.376833 98.12081) + (xy 141.379453 98.123656) (xy 141.545256 98.303766) (xy 141.741491 98.456502) (xy 141.96019 98.574856) + (xy 142.195386 98.655599) (xy 142.440665 98.696528) (xy 142.689335 98.696528) (xy 142.934614 98.655599) + (xy 143.16981 98.574856) (xy 143.388509 98.456502) (xy 143.584744 98.303766) (xy 143.753164 98.120813) + (xy 143.889173 97.912635) (xy 143.989063 97.684909) (xy 144.050108 97.443849) (xy 144.053039 97.408475) + (xy 144.070643 97.196033) (xy 144.070643 97.196022) (xy 144.050109 96.948215) (xy 144.050107 96.948203) + (xy 143.989063 96.707146) (xy 143.889173 96.479421) (xy 143.753166 96.271245) (xy 143.731557 96.247772) + (xy 143.584744 96.08829) (xy 143.388509 95.935554) (xy 143.388507 95.935553) (xy 143.388506 95.935552) + (xy 143.169811 95.8172) (xy 143.169802 95.817197) (xy 142.934616 95.736457) (xy 142.689335 95.695528) + (xy 142.440665 95.695528) (xy 142.195383 95.736457) (xy 141.960197 95.817197) (xy 141.960188 95.8172) + (xy 141.741493 95.935552) (xy 141.545257 96.088289) (xy 141.376833 96.271245) (xy 141.240826 96.479421) + (xy 141.140936 96.707146) (xy 141.079892 96.948203) (xy 141.07989 96.948215) (xy 141.059357 97.196022) + (xy 141.059357 97.196033) (xy 134.070643 97.196033) (xy 134.070643 97.196022) (xy 134.050109 96.948215) + (xy 134.050108 96.948211) (xy 134.050108 96.948207) (xy 134.044357 96.925498) (xy 133.994427 96.72833) + (xy 133.989063 96.707147) (xy 133.989062 96.707146) (xy 133.988992 96.706867) (xy 133.991616 96.637046) + (xy 134.021512 96.588749) (xy 134.50552 96.104744) (xy 134.584577 95.967813) (xy 134.625501 95.815085) + (xy 134.625501 95.65697) (xy 134.625501 95.649375) (xy 134.6255 95.649357) (xy 134.6255 95.096033) + (xy 136.059357 95.096033) (xy 136.07989 95.34384) (xy 136.079892 95.343852) (xy 136.140936 95.584909) + (xy 136.240826 95.812634) (xy 136.376833 96.02081) (xy 136.395826 96.041442) (xy 136.545256 96.203766) + (xy 136.741491 96.356502) (xy 136.83894 96.409239) (xy 136.930345 96.458705) (xy 136.96019 96.474856) + (xy 137.195386 96.555599) (xy 137.440665 96.596528) (xy 137.689335 96.596528) (xy 137.934614 96.555599) + (xy 138.16981 96.474856) (xy 138.388509 96.356502) (xy 138.584744 96.203766) (xy 138.753164 96.020813) + (xy 138.889173 95.812635) (xy 138.989063 95.584909) (xy 139.050108 95.343849) (xy 139.051266 95.329878) + (xy 139.070643 95.096033) (xy 139.070643 95.096022) (xy 139.050109 94.848215) (xy 139.050107 94.848203) + (xy 138.989063 94.607146) (xy 138.889173 94.379421) (xy 138.753166 94.171245) (xy 138.713931 94.128625) + (xy 138.584744 93.98829) (xy 138.388509 93.835554) (xy 138.388507 93.835553) (xy 138.388506 93.835552) + (xy 138.169811 93.7172) (xy 138.169802 93.717197) (xy 137.934616 93.636457) (xy 137.689335 93.595528) + (xy 137.440665 93.595528) (xy 137.195383 93.636457) (xy 136.960197 93.717197) (xy 136.960188 93.7172) + (xy 136.741493 93.835552) (xy 136.545257 93.988289) (xy 136.376833 94.171245) (xy 136.240826 94.379421) + (xy 136.140936 94.607146) (xy 136.079892 94.848203) (xy 136.07989 94.848215) (xy 136.059357 95.096022) + (xy 136.059357 95.096033) (xy 134.6255 95.096033) (xy 134.6255 91.273337) (xy 145.3495 91.273337) + (xy 145.349501 91.273355) (xy 145.35965 91.372707) (xy 145.359651 91.37271) (xy 145.412996 91.533694) + (xy 145.413001 91.533705) (xy 145.502029 91.67804) (xy 145.502032 91.678044) (xy 145.621955 91.797967) + (xy 145.621959 91.79797) (xy 145.766294 91.886998) (xy 145.766297 91.886999) (xy 145.766303 91.887003) + (xy 145.927292 91.940349) (xy 146.026655 91.9505) (xy 146.873344 91.950499) (xy 146.873352 91.950498) + (xy 146.873355 91.950498) (xy 146.92776 91.94494) (xy 146.972708 91.940349) (xy 147.133697 91.887003) + (xy 147.278044 91.797968) (xy 147.397968 91.678044) (xy 147.487003 91.533697) (xy 147.540349 91.372708) + (xy 147.5505 91.273345) (xy 147.550499 90.726656) (xy 147.549455 90.716439) (xy 147.540349 90.627292) + (xy 147.540348 90.627289) (xy 147.529303 90.593957) (xy 147.487003 90.466303) (xy 147.486999 90.466297) + (xy 147.486998 90.466294) (xy 147.431697 90.376639) (xy 149.1645 90.376639) (xy 149.191598 90.547729) + (xy 149.245127 90.712473) (xy 149.323768 90.866816) (xy 149.425586 91.006956) (xy 149.548072 91.129442) + (xy 149.688212 91.23126) (xy 149.842555 91.309901) (xy 150.007299 91.36343) (xy 150.178389 91.390528) + (xy 150.17839 91.390528) (xy 150.35161 91.390528) (xy 150.351611 91.390528) (xy 150.522701 91.36343) + (xy 150.687445 91.309901) (xy 150.841788 91.23126) (xy 150.981928 91.129442) (xy 151.104414 91.006956) + (xy 151.206232 90.866816) (xy 151.284873 90.712473) (xy 151.338402 90.547729) (xy 151.3655 90.376639) + (xy 151.3655 90.203417) (xy 151.338402 90.032327) (xy 151.284873 89.867583) (xy 151.206232 89.71324) + (xy 151.104414 89.5731) (xy 150.981928 89.450614) (xy 150.841788 89.348796) (xy 150.687445 89.270155) + (xy 150.522701 89.216626) (xy 150.522699 89.216625) (xy 150.522698 89.216625) (xy 150.391271 89.195809) + (xy 150.351611 89.189528) (xy 150.178389 89.189528) (xy 150.138728 89.195809) (xy 150.007302 89.216625) + (xy 149.842552 89.270156) (xy 149.688211 89.348796) (xy 149.608256 89.406887) (xy 149.548072 89.450614) + (xy 149.54807 89.450616) (xy 149.548069 89.450616) (xy 149.425588 89.573097) (xy 149.425588 89.573098) + (xy 149.425586 89.5731) (xy 149.416557 89.585528) (xy 149.323768 89.713239) (xy 149.245128 89.86758) + (xy 149.191597 90.03233) (xy 149.166558 90.190424) (xy 149.1645 90.203417) (xy 149.1645 90.376639) + (xy 147.431697 90.376639) (xy 147.39797 90.321959) (xy 147.397967 90.321955) (xy 147.278044 90.202032) + (xy 147.27804 90.202029) (xy 147.133705 90.113001) (xy 147.133699 90.112998) (xy 147.133697 90.112997) + (xy 147.133694 90.112996) (xy 146.972709 90.059651) (xy 146.873346 90.0495) (xy 146.026662 90.0495) + (xy 146.026644 90.049501) (xy 145.927292 90.05965) (xy 145.927289 90.059651) (xy 145.766305 90.112996) + (xy 145.766294 90.113001) (xy 145.621959 90.202029) (xy 145.621955 90.202032) (xy 145.502032 90.321955) + (xy 145.502029 90.321959) (xy 145.413001 90.466294) (xy 145.412996 90.466305) (xy 145.359651 90.62729) + (xy 145.3495 90.726647) (xy 145.3495 91.273337) (xy 134.6255 91.273337) (xy 134.6255 87.973337) + (xy 145.3495 87.973337) (xy 145.349501 87.973355) (xy 145.35965 88.072707) (xy 145.359651 88.07271) + (xy 145.412996 88.233694) (xy 145.413001 88.233705) (xy 145.502029 88.37804) (xy 145.502032 88.378044) + (xy 145.621955 88.497967) (xy 145.621959 88.49797) (xy 145.766294 88.586998) (xy 145.766297 88.586999) + (xy 145.766303 88.587003) (xy 145.927292 88.640349) (xy 146.026655 88.6505) (xy 146.873344 88.650499) + (xy 146.873352 88.650498) (xy 146.873355 88.650498) (xy 146.92776 88.64494) (xy 146.972708 88.640349) + (xy 147.133697 88.587003) (xy 147.278044 88.497968) (xy 147.397968 88.378044) (xy 147.487003 88.233697) + (xy 147.540349 88.072708) (xy 147.5505 87.973345) (xy 147.550499 87.426656) (xy 147.548391 87.406024) + (xy 147.540349 87.327292) (xy 147.540348 87.327289) (xy 147.487003 87.166303) (xy 147.486999 87.166297) + (xy 147.486998 87.166294) (xy 147.39797 87.021959) (xy 147.397967 87.021955) (xy 147.278044 86.902032) + (xy 147.27804 86.902029) (xy 147.133705 86.813001) (xy 147.133699 86.812998) (xy 147.133697 86.812997) + (xy 147.075263 86.793634) (xy 146.972709 86.759651) (xy 146.873346 86.7495) (xy 146.026662 86.7495) + (xy 146.026644 86.749501) (xy 145.927292 86.75965) (xy 145.927289 86.759651) (xy 145.766305 86.812996) + (xy 145.766294 86.813001) (xy 145.621959 86.902029) (xy 145.621955 86.902032) (xy 145.502032 87.021955) + (xy 145.502029 87.021959) (xy 145.413001 87.166294) (xy 145.412996 87.166305) (xy 145.359651 87.32729) + (xy 145.3495 87.426647) (xy 145.3495 87.973337) (xy 134.6255 87.973337) (xy 134.6255 84.390033) + (xy 154.259357 84.390033) (xy 154.27989 84.63784) (xy 154.279892 84.637852) (xy 154.340936 84.878909) + (xy 154.440826 85.106634) (xy 154.576833 85.31481) (xy 154.576836 85.314813) (xy 154.745256 85.497766) + (xy 154.941491 85.650502) (xy 155.16019 85.768856) (xy 155.395386 85.849599) (xy 155.640665 85.890528) + (xy 155.889335 85.890528) (xy 156.134614 85.849599) (xy 156.36981 85.768856) (xy 156.588509 85.650502) + (xy 156.784744 85.497766) (xy 156.953164 85.314813) (xy 157.089173 85.106635) (xy 157.189063 84.878909) + (xy 157.250108 84.637849) (xy 157.250109 84.63784) (xy 157.270643 84.390033) (xy 157.270643 84.390022) + (xy 157.250109 84.142215) (xy 157.250107 84.142203) (xy 157.189063 83.901146) (xy 157.089173 83.673421) + (xy 156.953166 83.465245) (xy 156.905072 83.413001) (xy 156.784744 83.28229) (xy 156.588509 83.129554) + (xy 156.588507 83.129553) (xy 156.588506 83.129552) (xy 156.369811 83.0112) (xy 156.369802 83.011197) + (xy 156.134616 82.930457) (xy 155.889335 82.889528) (xy 155.640665 82.889528) (xy 155.395383 82.930457) + (xy 155.160197 83.011197) (xy 155.160188 83.0112) (xy 154.941493 83.129552) (xy 154.745257 83.282289) + (xy 154.576833 83.465245) (xy 154.440826 83.673421) (xy 154.340936 83.901146) (xy 154.279892 84.142203) + (xy 154.27989 84.142215) (xy 154.259357 84.390022) (xy 154.259357 84.390033) (xy 134.6255 84.390033) + (xy 134.6255 82.454878) (xy 135.6145 82.454878) (xy 135.63337 82.5982) (xy 135.647874 82.708368) + (xy 135.71405 82.95534) (xy 135.714053 82.95535) (xy 135.811894 83.191559) (xy 135.811899 83.19157) + (xy 135.939734 83.412985) (xy 135.939745 83.413001) (xy 136.095388 83.615839) (xy 136.095394 83.615846) + (xy 136.276181 83.796633) (xy 136.276187 83.796638) (xy 136.479035 83.952289) (xy 136.479042 83.952293) + (xy 136.700457 84.080128) (xy 136.700462 84.08013) (xy 136.700465 84.080132) (xy 136.936687 84.177978) + (xy 137.18366 84.244154) (xy 137.437157 84.277528) (xy 137.437164 84.277528) (xy 137.692836 84.277528) + (xy 137.692843 84.277528) (xy 137.94634 84.244154) (xy 138.193313 84.177978) (xy 138.429535 84.080132) + (xy 138.650965 83.952289) (xy 138.853813 83.796638) (xy 139.03461 83.615841) (xy 139.190261 83.412993) + (xy 139.318104 83.191563) (xy 139.41595 82.955341) (xy 139.482126 82.708368) (xy 139.5155 82.454871) + (xy 139.5155 82.413639) (xy 141.9645 82.413639) (xy 141.991598 82.584729) (xy 142.045127 82.749473) + (xy 142.123768 82.903816) (xy 142.225586 83.043956) (xy 142.348072 83.166442) (xy 142.488212 83.26826) + (xy 142.642555 83.346901) (xy 142.807299 83.40043) (xy 142.978389 83.427528) (xy 142.97839 83.427528) + (xy 143.15161 83.427528) (xy 143.151611 83.427528) (xy 143.322701 83.40043) (xy 143.487445 83.346901) + (xy 143.641788 83.26826) (xy 143.781928 83.166442) (xy 143.904414 83.043956) (xy 144.006232 82.903816) + (xy 144.084873 82.749473) (xy 144.138402 82.584729) (xy 144.1655 82.413639) (xy 144.1655 82.240417) + (xy 144.138402 82.069327) (xy 144.084873 81.904583) (xy 144.006232 81.75024) (xy 143.904414 81.6101) + (xy 143.781928 81.487614) (xy 143.641788 81.385796) (xy 143.490471 81.308697) (xy 143.487447 81.307156) + (xy 143.487446 81.307155) (xy 143.487445 81.307155) (xy 143.322701 81.253626) (xy 143.322699 81.253625) + (xy 143.322698 81.253625) (xy 143.191271 81.232809) (xy 143.151611 81.226528) (xy 142.978389 81.226528) + (xy 142.938728 81.232809) (xy 142.807302 81.253625) (xy 142.642552 81.307156) (xy 142.488211 81.385796) + (xy 142.408256 81.443887) (xy 142.348072 81.487614) (xy 142.34807 81.487616) (xy 142.348069 81.487616) + (xy 142.225588 81.610097) (xy 142.225588 81.610098) (xy 142.225586 81.6101) (xy 142.18788 81.661998) + (xy 142.123768 81.750239) (xy 142.045128 81.90458) (xy 141.991597 82.06933) (xy 141.9645 82.240417) + (xy 141.9645 82.413639) (xy 139.5155 82.413639) (xy 139.5155 82.199185) (xy 139.482126 81.945688) + (xy 139.41595 81.698715) (xy 139.400743 81.662003) (xy 139.318105 81.462496) (xy 139.3181 81.462485) + (xy 139.190265 81.24107) (xy 139.190261 81.241063) (xy 139.042391 81.048355) (xy 139.034611 81.038216) + (xy 139.034605 81.038209) (xy 138.853818 80.857422) (xy 138.853811 80.857416) (xy 138.650973 80.701773) + (xy 138.650971 80.701771) (xy 138.650965 80.701767) (xy 138.65096 80.701764) (xy 138.650957 80.701762) + (xy 138.429542 80.573927) (xy 138.429531 80.573922) (xy 138.193322 80.476081) (xy 138.193315 80.476079) + (xy 138.193313 80.476078) (xy 137.94634 80.409902) (xy 137.888514 80.402289) (xy 137.69285 80.376528) + (xy 137.692843 80.376528) (xy 137.437157 80.376528) (xy 137.437149 80.376528) (xy 137.211826 80.406193) + (xy 137.18366 80.409902) (xy 136.936687 80.476078) (xy 136.936677 80.476081) (xy 136.700468 80.573922) + (xy 136.700457 80.573927) (xy 136.479042 80.701762) (xy 136.479026 80.701773) (xy 136.276188 80.857416) + (xy 136.276181 80.857422) (xy 136.095394 81.038209) (xy 136.095388 81.038216) (xy 135.939745 81.241054) + (xy 135.939734 81.24107) (xy 135.811899 81.462485) (xy 135.811894 81.462496) (xy 135.714053 81.698705) + (xy 135.71405 81.698715) (xy 135.647874 81.945689) (xy 135.6145 82.199177) (xy 135.6145 82.454878) + (xy 134.6255 82.454878) (xy 134.6255 80.076088) (xy 134.625501 80.076075) (xy 134.625501 79.907973) + (xy 134.625501 79.907971) (xy 134.584577 79.755243) (xy 134.50552 79.618312) (xy 134.021515 79.134308) + (xy 133.988031 79.072986) (xy 133.988992 79.016187) (xy 133.989061 79.015912) (xy 133.989063 79.015909) + (xy 134.050108 78.774849) (xy 134.050926 78.764977) (xy 134.070643 78.527033) (xy 141.059357 78.527033) + (xy 141.07989 78.77484) (xy 141.079891 78.774844) (xy 141.140936 79.015909) (xy 141.240826 79.243634) + (xy 141.376833 79.45181) (xy 141.376836 79.451813) (xy 141.545256 79.634766) (xy 141.741491 79.787502) + (xy 141.96019 79.905856) (xy 142.195386 79.986599) (xy 142.440665 80.027528) (xy 142.689335 80.027528) + (xy 142.934614 79.986599) (xy 143.16981 79.905856) (xy 143.388509 79.787502) (xy 143.584744 79.634766) + (xy 143.753164 79.451813) (xy 143.889173 79.243635) (xy 143.989063 79.015909) (xy 144.050108 78.774849) + (xy 144.050108 78.774847) (xy 144.050109 78.774844) (xy 144.050109 78.77484) (xy 144.070643 78.527033) + (xy 144.070643 78.527022) (xy 144.050109 78.279215) (xy 144.050107 78.279203) (xy 144.023719 78.175) + (xy 151.51954 78.175) (xy 151.539326 78.363256) (xy 151.539327 78.363259) (xy 151.597818 78.543277) + (xy 151.597821 78.543284) (xy 151.692467 78.707216) (xy 151.799305 78.825871) (xy 151.819129 78.847888) + (xy 151.972265 78.959148) (xy 151.97227 78.959151) (xy 152.145192 79.036142) (xy 152.145197 79.036144) + (xy 152.330354 79.0755) (xy 152.330355 79.0755) (xy 152.519644 79.0755) (xy 152.519646 79.0755) + (xy 152.704803 79.036144) (xy 152.87773 78.959151) (xy 153.030871 78.847888) (xy 153.157533 78.707216) + (xy 153.252179 78.543284) (xy 153.310674 78.363256) (xy 153.33046 78.175) (xy 153.310674 77.986744) + (xy 153.252179 77.806716) (xy 153.157533 77.642784) (xy 153.067102 77.54235) (xy 153.05735 77.531519) + (xy 153.029428 77.473337) (xy 163.5745 77.473337) (xy 163.574501 77.473355) (xy 163.58465 77.572707) + (xy 163.584651 77.57271) (xy 163.637996 77.733694) (xy 163.638001 77.733705) (xy 163.727029 77.87804) + (xy 163.727032 77.878044) (xy 163.846955 77.997967) (xy 163.846959 77.99797) (xy 163.991294 78.086998) + (xy 163.991297 78.086999) (xy 163.991303 78.087003) (xy 164.152292 78.140349) (xy 164.251655 78.1505) + (xy 165.098344 78.150499) (xy 165.098352 78.150498) (xy 165.098355 78.150498) (xy 165.15276 78.14494) + (xy 165.197708 78.140349) (xy 165.358697 78.087003) (xy 165.503044 77.997968) (xy 165.622968 77.878044) + (xy 165.712003 77.733697) (xy 165.765349 77.572708) (xy 165.7755 77.473345) (xy 165.775499 76.926656) + (xy 165.770456 76.877292) (xy 165.765349 76.827292) (xy 165.765348 76.827289) (xy 165.755296 76.796955) + (xy 165.712003 76.666303) (xy 165.711999 76.666297) (xy 165.711998 76.666294) (xy 165.62297 76.521959) + (xy 165.622967 76.521955) (xy 165.503044 76.402032) (xy 165.50304 76.402029) (xy 165.358705 76.313001) + (xy 165.358699 76.312998) (xy 165.358697 76.312997) (xy 165.348596 76.30965) (xy 165.197709 76.259651) + (xy 165.098346 76.2495) (xy 164.251662 76.2495) (xy 164.251644 76.249501) (xy 164.152292 76.25965) + (xy 164.152289 76.259651) (xy 163.991305 76.312996) (xy 163.991294 76.313001) (xy 163.846959 76.402029) + (xy 163.846955 76.402032) (xy 163.727032 76.521955) (xy 163.727029 76.521959) (xy 163.638001 76.666294) + (xy 163.637996 76.666305) (xy 163.584651 76.82729) (xy 163.5745 76.926647) (xy 163.5745 77.473337) + (xy 153.029428 77.473337) (xy 153.02712 77.468527) (xy 153.0255 77.448547) (xy 153.0255 77.004217) + (xy 153.045185 76.937178) (xy 153.05735 76.921245) (xy 153.062155 76.915909) (xy 153.182533 76.782216) + (xy 153.277179 76.618284) (xy 153.335674 76.438256) (xy 153.35546 76.25) (xy 153.335674 76.061744) + (xy 153.277179 75.881716) (xy 153.182533 75.717784) (xy 153.055871 75.577112) (xy 153.05587 75.577111) + (xy 152.902734 75.465851) (xy 152.902729 75.465848) (xy 152.729807 75.388857) (xy 152.729802 75.388855) + (xy 152.584001 75.357865) (xy 152.544646 75.3495) (xy 152.355354 75.3495) (xy 152.322897 75.356398) + (xy 152.170197 75.388855) (xy 152.170192 75.388857) (xy 151.99727 75.465848) (xy 151.997265 75.465851) + (xy 151.844129 75.577111) (xy 151.717466 75.717785) (xy 151.622821 75.881715) (xy 151.622818 75.881722) + (xy 151.564327 76.06174) (xy 151.564326 76.061744) (xy 151.54454 76.25) (xy 151.564326 76.438256) + (xy 151.564327 76.438259) (xy 151.622818 76.618277) (xy 151.622821 76.618284) (xy 151.717467 76.782216) + (xy 151.730742 76.796959) (xy 151.79265 76.865715) (xy 151.82288 76.928706) (xy 151.8245 76.948687) + (xy 151.8245 77.448547) (xy 151.804815 77.515586) (xy 151.79265 77.531519) (xy 151.692466 77.642785) + (xy 151.597821 77.806715) (xy 151.597818 77.806722) (xy 151.54116 77.9811) (xy 151.539326 77.986744) + (xy 151.51954 78.175) (xy 144.023719 78.175) (xy 143.989063 78.038146) (xy 143.889173 77.810421) + (xy 143.753166 77.602245) (xy 143.699495 77.543943) (xy 143.584744 77.41929) (xy 143.388509 77.266554) + (xy 143.388507 77.266553) (xy 143.388506 77.266552) (xy 143.169811 77.1482) (xy 143.169802 77.148197) + (xy 142.934616 77.067457) (xy 142.689335 77.026528) (xy 142.440665 77.026528) (xy 142.195383 77.067457) + (xy 141.960197 77.148197) (xy 141.960188 77.1482) (xy 141.741493 77.266552) (xy 141.545257 77.419289) + (xy 141.376833 77.602245) (xy 141.240826 77.810421) (xy 141.140936 78.038146) (xy 141.079892 78.279203) + (xy 141.07989 78.279215) (xy 141.059357 78.527022) (xy 141.059357 78.527033) (xy 134.070643 78.527033) + (xy 134.070643 78.527022) (xy 134.050109 78.279215) (xy 134.050107 78.279203) (xy 133.989063 78.038146) + (xy 133.889173 77.810421) (xy 133.753166 77.602245) (xy 133.699495 77.543943) (xy 133.584744 77.41929) + (xy 133.388509 77.266554) (xy 133.388507 77.266553) (xy 133.388506 77.266552) (xy 133.169811 77.1482) + (xy 133.169802 77.148197) (xy 132.934616 77.067457) (xy 132.689335 77.026528) (xy 132.440665 77.026528) + (xy 132.195383 77.067457) (xy 131.960197 77.148197) (xy 131.960188 77.1482) (xy 131.741493 77.266552) + (xy 131.545257 77.419289) (xy 131.376833 77.602245) (xy 131.240826 77.810421) (xy 131.140936 78.038146) + (xy 131.079892 78.279203) (xy 131.07989 78.279215) (xy 131.059357 78.527022) (xy 131.059357 78.527033) + (xy 131.07989 78.77484) (xy 131.079891 78.774844) (xy 131.140936 79.015909) (xy 131.240826 79.243634) + (xy 131.376833 79.45181) (xy 131.376836 79.451813) (xy 131.545256 79.634766) (xy 131.741491 79.787502) + (xy 131.96019 79.905856) (xy 132.195386 79.986599) (xy 132.440665 80.027528) (xy 132.689335 80.027528) + (xy 132.934614 79.986599) (xy 132.935127 79.986423) (xy 133.040605 79.950211) (xy 133.110402 79.947062) + (xy 133.168548 79.979812) (xy 133.388181 80.199444) (xy 133.421666 80.260767) (xy 133.4245 80.287125) + (xy 133.4245 95.435929) (xy 133.404815 95.502968) (xy 133.388181 95.52361) (xy 133.168548 95.743243) + (xy 133.107225 95.776728) (xy 133.040604 95.772843) (xy 132.934614 95.736456) (xy 132.689335 95.695528) + (xy 132.440665 95.695528) (xy 132.195383 95.736457) (xy 131.960197 95.817197) (xy 131.960188 95.8172) + (xy 131.741493 95.935552) (xy 131.545257 96.088289) (xy 131.376833 96.271245) (xy 131.240826 96.479421) + (xy 131.140936 96.707146) (xy 131.079892 96.948203) (xy 131.07989 96.948215) (xy 131.059357 97.196022) + (xy 131.059357 97.196033) (xy 105.0255 97.196033) (xy 105.0255 95.288638) (xy 105.4645 95.288638) + (xy 105.488679 95.441303) (xy 105.491598 95.459729) (xy 105.545127 95.624473) (xy 105.623768 95.778816) + (xy 105.725586 95.918956) (xy 105.848072 96.041442) (xy 105.988212 96.14326) (xy 106.142555 96.221901) + (xy 106.307299 96.27543) (xy 106.478389 96.302528) (xy 106.47839 96.302528) (xy 106.65161 96.302528) + (xy 106.651611 96.302528) (xy 106.822701 96.27543) (xy 106.906084 96.248337) (xy 108.9995 96.248337) + (xy 108.999501 96.248355) (xy 109.00965 96.347707) (xy 109.009651 96.34771) (xy 109.062996 96.508694) + (xy 109.063001 96.508705) (xy 109.152029 96.65304) (xy 109.152032 96.653044) (xy 109.271955 96.772967) + (xy 109.271959 96.77297) (xy 109.416294 96.861998) (xy 109.416297 96.861999) (xy 109.416303 96.862003) + (xy 109.577292 96.915349) (xy 109.676655 96.9255) (xy 110.523344 96.925499) (xy 110.523352 96.925498) + (xy 110.523355 96.925498) (xy 110.57776 96.91994) (xy 110.622708 96.915349) (xy 110.783697 96.862003) + (xy 110.928044 96.772968) (xy 111.047968 96.653044) (xy 111.137003 96.508697) (xy 111.190349 96.347708) + (xy 111.2005 96.248345) (xy 111.2005 96.198337) (xy 127.3495 96.198337) (xy 127.349501 96.198355) + (xy 127.35965 96.297707) (xy 127.359651 96.29771) (xy 127.412996 96.458694) (xy 127.413001 96.458705) + (xy 127.502029 96.60304) (xy 127.502032 96.603044) (xy 127.621955 96.722967) (xy 127.621959 96.72297) + (xy 127.766294 96.811998) (xy 127.766297 96.811999) (xy 127.766303 96.812003) (xy 127.927292 96.865349) + (xy 128.026655 96.8755) (xy 128.873344 96.875499) (xy 128.873352 96.875498) (xy 128.873355 96.875498) + (xy 128.92776 96.86994) (xy 128.972708 96.865349) (xy 129.133697 96.812003) (xy 129.278044 96.722968) + (xy 129.397968 96.603044) (xy 129.487003 96.458697) (xy 129.540349 96.297708) (xy 129.5505 96.198345) + (xy 129.550499 95.651656) (xy 129.547722 95.624475) (xy 129.540349 95.552292) (xy 129.540348 95.552289) + (xy 129.528463 95.516422) (xy 129.487003 95.391303) (xy 129.486999 95.391297) (xy 129.486998 95.391294) + (xy 129.39797 95.246959) (xy 129.397967 95.246955) (xy 129.278044 95.127032) (xy 129.27804 95.127029) + (xy 129.133705 95.038001) (xy 129.133699 95.037998) (xy 129.133697 95.037997) (xy 129.123596 95.03465) + (xy 128.972709 94.984651) (xy 128.873346 94.9745) (xy 128.026662 94.9745) (xy 128.026644 94.974501) + (xy 127.927292 94.98465) (xy 127.927289 94.984651) (xy 127.766305 95.037996) (xy 127.766294 95.038001) + (xy 127.621959 95.127029) (xy 127.621955 95.127032) (xy 127.502032 95.246955) (xy 127.502029 95.246959) + (xy 127.413001 95.391294) (xy 127.412996 95.391305) (xy 127.359651 95.55229) (xy 127.3495 95.651647) + (xy 127.3495 96.198337) (xy 111.2005 96.198337) (xy 111.200499 95.701656) (xy 111.200045 95.697216) + (xy 111.190349 95.602292) (xy 111.190348 95.602289) (xy 111.170136 95.541294) (xy 111.137003 95.441303) + (xy 111.136999 95.441297) (xy 111.136998 95.441294) (xy 111.04797 95.296959) (xy 111.047967 95.296955) + (xy 110.928044 95.177032) (xy 110.92804 95.177029) (xy 110.783705 95.088001) (xy 110.783699 95.087998) + (xy 110.783697 95.087997) (xy 110.726072 95.068902) (xy 110.622709 95.034651) (xy 110.523346 95.0245) + (xy 109.676662 95.0245) (xy 109.676644 95.024501) (xy 109.577292 95.03465) (xy 109.577289 95.034651) + (xy 109.416305 95.087996) (xy 109.416294 95.088001) (xy 109.271959 95.177029) (xy 109.271955 95.177032) + (xy 109.152032 95.296955) (xy 109.152029 95.296959) (xy 109.063001 95.441294) (xy 109.062996 95.441305) + (xy 109.009651 95.60229) (xy 108.9995 95.701647) (xy 108.9995 96.248337) (xy 106.906084 96.248337) + (xy 106.987445 96.221901) (xy 107.141788 96.14326) (xy 107.281928 96.041442) (xy 107.404414 95.918956) + (xy 107.506232 95.778816) (xy 107.584873 95.624473) (xy 107.638402 95.459729) (xy 107.6655 95.288639) + (xy 107.6655 95.115417) (xy 107.638402 94.944327) (xy 107.584873 94.779583) (xy 107.506232 94.62524) + (xy 107.404414 94.4851) (xy 107.281928 94.362614) (xy 107.141788 94.260796) (xy 106.987445 94.182155) + (xy 106.822701 94.128626) (xy 106.822699 94.128625) (xy 106.822698 94.128625) (xy 106.691271 94.107809) + (xy 106.651611 94.101528) (xy 106.478389 94.101528) (xy 106.446192 94.106627) (xy 106.307301 94.128625) + (xy 106.307302 94.128625) (xy 106.142552 94.182156) (xy 105.988211 94.260796) (xy 105.908256 94.318887) + (xy 105.848072 94.362614) (xy 105.84807 94.362616) (xy 105.848069 94.362616) (xy 105.725588 94.485097) + (xy 105.725588 94.485098) (xy 105.725586 94.4851) (xy 105.704546 94.514059) (xy 105.623768 94.625239) + (xy 105.545128 94.77958) (xy 105.491597 94.94433) (xy 105.4645 95.115417) (xy 105.4645 95.288638) + (xy 105.0255 95.288638) (xy 105.0255 94.725096) (xy 105.045185 94.658057) (xy 105.061814 94.63742) + (xy 106.433713 93.265521) (xy 106.433716 93.26552) (xy 106.54552 93.153716) (xy 106.595639 93.066904) + (xy 106.624577 93.016785) (xy 106.6655 92.864058) (xy 106.6655 92.857076) (xy 106.685185 92.790037) + (xy 106.730481 92.748021) (xy 106.888509 92.662502) (xy 107.084744 92.509766) (xy 107.253164 92.326813) + (xy 107.389173 92.118635) (xy 107.489063 91.890909) (xy 107.550108 91.649849) (xy 107.551742 91.630128) + (xy 107.570643 91.402033) (xy 107.570643 91.402022) (xy 107.550109 91.154215) (xy 107.550107 91.154203) + (xy 107.489063 90.913146) (xy 107.389173 90.685421) (xy 107.253166 90.477245) (xy 107.231557 90.453772) + (xy 107.084744 90.29429) (xy 106.888509 90.141554) (xy 106.888507 90.141553) (xy 106.888506 90.141552) + (xy 106.669811 90.0232) (xy 106.669802 90.023197) (xy 106.496317 89.963639) (xy 112.7395 89.963639) + (xy 112.745889 90.003977) (xy 112.763156 90.113001) (xy 112.766598 90.134729) (xy 112.820127 90.299473) + (xy 112.898768 90.453816) (xy 113.000586 90.593956) (xy 113.123072 90.716442) (xy 113.263212 90.81826) + (xy 113.417555 90.896901) (xy 113.582299 90.95043) (xy 113.753389 90.977528) (xy 113.75339 90.977528) + (xy 113.92661 90.977528) (xy 113.926611 90.977528) (xy 114.097701 90.95043) (xy 114.262445 90.896901) + (xy 114.416788 90.81826) (xy 114.556928 90.716442) (xy 114.679414 90.593956) (xy 114.781232 90.453816) + (xy 114.859873 90.299473) (xy 114.913402 90.134729) (xy 114.933968 90.004878) (xy 117.3895 90.004878) + (xy 117.407495 90.141554) (xy 117.422874 90.258368) (xy 117.481521 90.477243) (xy 117.48905 90.50534) + (xy 117.489053 90.50535) (xy 117.586894 90.741559) (xy 117.586899 90.74157) (xy 117.714734 90.962985) + (xy 117.714745 90.963001) (xy 117.870388 91.165839) (xy 117.870394 91.165846) (xy 118.051181 91.346633) + (xy 118.051188 91.346639) (xy 118.123379 91.402033) (xy 118.254035 91.502289) (xy 118.254042 91.502293) + (xy 118.475457 91.630128) (xy 118.475462 91.63013) (xy 118.475465 91.630132) (xy 118.711687 91.727978) + (xy 118.95866 91.794154) (xy 119.212157 91.827528) (xy 119.212164 91.827528) (xy 119.467836 91.827528) + (xy 119.467843 91.827528) (xy 119.72134 91.794154) (xy 119.968313 91.727978) (xy 120.204535 91.630132) + (xy 120.425965 91.502289) (xy 120.628813 91.346638) (xy 120.80961 91.165841) (xy 120.965261 90.962993) + (xy 121.093104 90.741563) (xy 121.19095 90.505341) (xy 121.257126 90.258368) (xy 121.2905 90.004871) + (xy 121.2905 89.963639) (xy 123.7395 89.963639) (xy 123.745889 90.003977) (xy 123.763156 90.113001) + (xy 123.766598 90.134729) (xy 123.820127 90.299473) (xy 123.898768 90.453816) (xy 124.000586 90.593956) + (xy 124.123072 90.716442) (xy 124.263212 90.81826) (xy 124.417555 90.896901) (xy 124.582299 90.95043) + (xy 124.753389 90.977528) (xy 124.75339 90.977528) (xy 124.92661 90.977528) (xy 124.926611 90.977528) + (xy 125.097701 90.95043) (xy 125.262445 90.896901) (xy 125.416788 90.81826) (xy 125.556928 90.716442) + (xy 125.679414 90.593956) (xy 125.781232 90.453816) (xy 125.859873 90.299473) (xy 125.913402 90.134729) + (xy 125.9405 89.963639) (xy 125.9405 89.790417) (xy 125.913402 89.619327) (xy 125.859873 89.454583) + (xy 125.781232 89.30024) (xy 125.679414 89.1601) (xy 125.556928 89.037614) (xy 125.416788 88.935796) + (xy 125.262445 88.857155) (xy 125.097701 88.803626) (xy 125.097699 88.803625) (xy 125.097698 88.803625) + (xy 124.966271 88.782809) (xy 124.926611 88.776528) (xy 124.753389 88.776528) (xy 124.713728 88.782809) + (xy 124.582302 88.803625) (xy 124.417552 88.857156) (xy 124.263211 88.935796) (xy 124.183256 88.993887) + (xy 124.123072 89.037614) (xy 124.12307 89.037616) (xy 124.123069 89.037616) (xy 124.000588 89.160097) + (xy 124.000588 89.160098) (xy 124.000586 89.1601) (xy 123.968645 89.204063) (xy 123.898768 89.300239) + (xy 123.820128 89.45458) (xy 123.766597 89.61933) (xy 123.7395 89.790417) (xy 123.7395 89.963639) + (xy 121.2905 89.963639) (xy 121.2905 89.749185) (xy 121.257126 89.495688) (xy 121.19095 89.248715) + (xy 121.093104 89.012493) (xy 121.093102 89.01249) (xy 121.0931 89.012485) (xy 120.965265 88.79107) + (xy 120.965261 88.791063) (xy 120.920452 88.732666) (xy 120.809611 88.588216) (xy 120.809605 88.588209) + (xy 120.628818 88.407422) (xy 120.628811 88.407416) (xy 120.425973 88.251773) (xy 120.425971 88.251771) + (xy 120.425965 88.251767) (xy 120.42596 88.251764) (xy 120.425957 88.251762) (xy 120.204542 88.123927) + (xy 120.204531 88.123922) (xy 119.968322 88.026081) (xy 119.968315 88.026079) (xy 119.968313 88.026078) + (xy 119.72134 87.959902) (xy 119.64309 87.9496) (xy 119.46785 87.926528) (xy 119.467843 87.926528) + (xy 119.212157 87.926528) (xy 119.212149 87.926528) (xy 118.986826 87.956193) (xy 118.95866 87.959902) + (xy 118.785455 88.006312) (xy 118.711687 88.026078) (xy 118.711677 88.026081) (xy 118.475468 88.123922) + (xy 118.475457 88.123927) (xy 118.254042 88.251762) (xy 118.254026 88.251773) (xy 118.051188 88.407416) + (xy 118.051181 88.407422) (xy 117.870394 88.588209) (xy 117.870388 88.588216) (xy 117.714745 88.791054) + (xy 117.714734 88.79107) (xy 117.586899 89.012485) (xy 117.586894 89.012496) (xy 117.489053 89.248705) + (xy 117.48905 89.248715) (xy 117.462234 89.348796) (xy 117.422874 89.495689) (xy 117.3895 89.749177) + (xy 117.3895 90.004878) (xy 114.933968 90.004878) (xy 114.9405 89.963639) (xy 114.9405 89.790417) + (xy 114.913402 89.619327) (xy 114.859873 89.454583) (xy 114.781232 89.30024) (xy 114.679414 89.1601) + (xy 114.556928 89.037614) (xy 114.416788 88.935796) (xy 114.262445 88.857155) (xy 114.097701 88.803626) + (xy 114.097699 88.803625) (xy 114.097698 88.803625) (xy 113.966271 88.782809) (xy 113.926611 88.776528) + (xy 113.753389 88.776528) (xy 113.713728 88.782809) (xy 113.582302 88.803625) (xy 113.417552 88.857156) + (xy 113.263211 88.935796) (xy 113.183256 88.993887) (xy 113.123072 89.037614) (xy 113.12307 89.037616) + (xy 113.123069 89.037616) (xy 113.000588 89.160097) (xy 113.000588 89.160098) (xy 113.000586 89.1601) + (xy 112.968645 89.204063) (xy 112.898768 89.300239) (xy 112.820128 89.45458) (xy 112.766597 89.61933) + (xy 112.7395 89.790417) (xy 112.7395 89.963639) (xy 106.496317 89.963639) (xy 106.434616 89.942457) + (xy 106.189335 89.901528) (xy 105.940665 89.901528) (xy 105.695383 89.942457) (xy 105.460197 90.023197) + (xy 105.460188 90.0232) (xy 105.241493 90.141552) (xy 105.045257 90.294289) (xy 104.876833 90.477245) + (xy 104.740826 90.685421) (xy 104.640936 90.913146) (xy 104.579892 91.154203) (xy 104.57989 91.154215) + (xy 104.559357 91.402022) (xy 104.559357 91.402033) (xy 104.57989 91.64984) (xy 104.579892 91.649852) + (xy 104.640936 91.890909) (xy 104.740826 92.118634) (xy 104.876833 92.32681) (xy 104.876836 92.326813) + (xy 105.045256 92.509766) (xy 105.045259 92.509768) (xy 105.045262 92.509771) (xy 105.185099 92.618611) + (xy 105.225912 92.675321) (xy 105.229587 92.745094) (xy 105.196618 92.804145) (xy 104.056286 93.944478) + (xy 103.944481 94.056282) (xy 103.944477 94.056287) (xy 103.902714 94.128625) (xy 103.902714 94.128626) + (xy 103.865423 94.193214) (xy 103.865423 94.193215) (xy 103.824499 94.345943) (xy 103.824499 94.345945) + (xy 103.824499 94.514046) (xy 103.8245 94.514059) (xy 103.8245 100.974902) (xy 103.804815 101.041941) + (xy 103.788181 101.062583) (xy 102.069481 102.781282) (xy 102.069479 102.781284) (xy 102.045483 102.822848) + (xy 102.03534 102.840417) (xy 102.031553 102.846977) (xy 101.993346 102.913153) (xy 101.990423 102.918215) + (xy 101.949499 103.070943) (xy 101.949499 103.070945) (xy 101.949499 103.239046) (xy 101.9495 103.239059) + (xy 101.9495 105.500903) (xy 101.929815 105.567942) (xy 101.877011 105.613697) (xy 101.807853 105.623641) + (xy 101.744297 105.594616) (xy 101.737819 105.588584) (xy 98.941779 102.792544) (xy 98.908294 102.731221) + (xy 98.90546 102.704863) (xy 98.90546 102.700002) (xy 98.903275 102.679215) (xy 98.885674 102.511744) + (xy 98.827179 102.331716) (xy 98.732533 102.167784) (xy 98.605871 102.027112) (xy 98.60587 102.027111) + (xy 98.452734 101.915851) (xy 98.452729 101.915848) (xy 98.279807 101.838857) (xy 98.279802 101.838855) + (xy 98.134001 101.807865) (xy 98.094646 101.7995) (xy 97.905354 101.7995) (xy 97.872897 101.806398) + (xy 97.720197 101.838855) (xy 97.720192 101.838857) (xy 97.54727 101.915848) (xy 97.547265 101.915851) + (xy 97.394129 102.027111) (xy 97.267466 102.167785) (xy 97.172821 102.331715) (xy 97.172818 102.331722) + (xy 97.114327 102.51174) (xy 97.114326 102.511744) (xy 97.09454 102.7) (xy 97.114326 102.888256) + (xy 97.114327 102.888259) (xy 97.172818 103.068277) (xy 97.172821 103.068284) (xy 97.267467 103.232216) + (xy 97.295984 103.263887) (xy 97.394129 103.372888) (xy 97.547265 103.484148) (xy 97.54727 103.484151) + (xy 97.720192 103.561142) (xy 97.720197 103.561144) (xy 97.905354 103.6005) (xy 97.999903 103.6005) + (xy 98.066942 103.620185) (xy 98.087584 103.636819) (xy 100.913181 106.462416) (xy 100.946666 106.523739) + (xy 100.9495 106.550097) (xy 100.9495 108.201897) (xy 100.929815 108.268936) (xy 100.877011 108.314691) + (xy 100.807853 108.324635) (xy 100.744297 108.29561) (xy 100.737832 108.289591) (xy 100.635902 108.187692) + (xy 100.519728 108.110098) (xy 100.472438 108.078512) (xy 100.472437 108.078511) (xy 100.472435 108.07851) + (xy 100.290816 108.003326) (xy 100.290813 108.003325) (xy 100.098011 107.965024) (xy 99.999725 107.962775) + (xy 99.999706 107.962775) (xy 58.47665 107.974175) (xy 58.474976 107.974164) (xy 58.313574 107.972029) + (xy 58.301361 107.971264) (xy 57.979721 107.935106) (xy 57.96601 107.93278) (xy 57.651252 107.861016) + (xy 57.637887 107.857168) (xy 57.333169 107.750616) (xy 57.320318 107.745297) (xy 57.029424 107.605279) + (xy 57.01725 107.598553) (xy 56.743882 107.426851) (xy 56.732538 107.418805) (xy 56.655534 107.357416) + (xy 56.480105 107.21756) (xy 56.469745 107.208305) (xy 56.24143 106.980051) (xy 56.232165 106.969685) + (xy 56.196515 106.924992) (xy 56.08724 106.787996) (xy 56.030863 106.717318) (xy 56.022813 106.705976) + (xy 55.869742 106.462416) (xy 55.851024 106.432633) (xy 55.844305 106.420478) (xy 55.70421 106.129619) + (xy 55.698893 106.116785) (xy 55.59225 105.81207) (xy 55.588402 105.798713) (xy 55.575829 105.743634) + (xy 55.516552 105.483966) (xy 55.514227 105.470283) (xy 55.47798 105.148618) (xy 55.477213 105.136444) + (xy 55.475012 104.975055) (xy 55.475 104.973364) (xy 55.475 104.089741) (xy 57.8245 104.089741) + (xy 57.848673 104.273342) (xy 57.854452 104.317238) (xy 57.897636 104.478405) (xy 57.913842 104.538887) + (xy 58.00165 104.750876) (xy 58.001657 104.75089) (xy 58.116392 104.949617) (xy 58.256081 105.131661) + (xy 58.256089 105.13167) (xy 58.41833 105.293911) (xy 58.418338 105.293918) (xy 58.600382 105.433607) + (xy 58.600385 105.433608) (xy 58.600388 105.433611) (xy 58.799112 105.548344) (xy 58.799117 105.548346) + (xy 58.799123 105.548349) (xy 58.89048 105.58619) (xy 59.011113 105.636158) (xy 59.232762 105.695548) + (xy 59.460266 105.7255) (xy 59.460273 105.7255) (xy 59.689727 105.7255) (xy 59.689734 105.7255) + (xy 59.917238 105.695548) (xy 60.138887 105.636158) (xy 60.350888 105.548344) (xy 60.549612 105.433611) + (xy 60.731661 105.293919) (xy 60.731665 105.293914) (xy 60.73167 105.293911) (xy 60.893911 105.13167) + (xy 60.893914 105.131665) (xy 60.893919 105.131661) (xy 61.033611 104.949612) (xy 61.148344 104.750888) + (xy 61.236158 104.538887) (xy 61.295548 104.317238) (xy 61.3255 104.089734) (xy 61.3255 103.860266) + (xy 61.295548 103.632762) (xy 61.236158 103.411113) (xy 61.164891 103.239059) (xy 61.148349 103.199123) + (xy 61.148346 103.199117) (xy 61.148344 103.199112) (xy 61.033611 103.000388) (xy 61.033608 103.000385) + (xy 61.033607 103.000382) (xy 60.915894 102.846977) (xy 60.893919 102.818339) (xy 60.893918 102.818338) + (xy 60.893911 102.81833) (xy 60.73167 102.656089) (xy 60.731661 102.656081) (xy 60.549617 102.516392) + (xy 60.541566 102.511744) (xy 60.438883 102.45246) (xy 60.35089 102.401657) (xy 60.350876 102.40165) + (xy 60.138887 102.313842) (xy 59.917238 102.254452) (xy 59.879215 102.249446) (xy 59.689741 102.2245) + (xy 59.689734 102.2245) (xy 59.460266 102.2245) (xy 59.460258 102.2245) (xy 59.243715 102.253009) + (xy 59.232762 102.254452) (xy 59.139076 102.279554) (xy 59.011112 102.313842) (xy 58.799123 102.40165) + (xy 58.799109 102.401657) (xy 58.600382 102.516392) (xy 58.418338 102.656081) (xy 58.256081 102.818338) + (xy 58.116392 103.000382) (xy 58.001657 103.199109) (xy 58.00165 103.199123) (xy 57.913842 103.411112) + (xy 57.894272 103.484151) (xy 57.863097 103.6005) (xy 57.854453 103.632759) (xy 57.854451 103.63277) + (xy 57.8245 103.860258) (xy 57.8245 104.089741) (xy 55.475 104.089741) (xy 55.475 99.648337) (xy 90.7245 99.648337) + (xy 90.724501 99.648355) (xy 90.73465 99.747707) (xy 90.734651 99.74771) (xy 90.787996 99.908694) + (xy 90.788001 99.908705) (xy 90.877029 100.05304) (xy 90.877032 100.053044) (xy 90.996955 100.172967) + (xy 90.996959 100.17297) (xy 91.141294 100.261998) (xy 91.141297 100.261999) (xy 91.141303 100.262003) + (xy 91.302292 100.315349) (xy 91.401655 100.3255) (xy 92.248344 100.325499) (xy 92.248352 100.325498) + (xy 92.248355 100.325498) (xy 92.30276 100.31994) (xy 92.347708 100.315349) (xy 92.508697 100.262003) + (xy 92.653044 100.172968) (xy 92.772968 100.053044) (xy 92.862003 99.908697) (xy 92.915349 99.747708) + (xy 92.9255 99.648345) (xy 92.925499 99.101656) (xy 92.915349 99.002292) (xy 92.862003 98.841303) + (xy 92.861999 98.841297) (xy 92.861998 98.841294) (xy 92.77297 98.696959) (xy 92.772967 98.696955) + (xy 92.653044 98.577032) (xy 92.65304 98.577029) (xy 92.508705 98.488001) (xy 92.508699 98.487998) + (xy 92.508697 98.487997) (xy 92.475607 98.477032) (xy 92.347709 98.434651) (xy 92.248346 98.4245) + (xy 91.401662 98.4245) (xy 91.401644 98.424501) (xy 91.302292 98.43465) (xy 91.302289 98.434651) + (xy 91.141305 98.487996) (xy 91.141294 98.488001) (xy 90.996959 98.577029) (xy 90.996955 98.577032) + (xy 90.877032 98.696955) (xy 90.877029 98.696959) (xy 90.788001 98.841294) (xy 90.787996 98.841305) + (xy 90.734651 99.00229) (xy 90.7245 99.101647) (xy 90.7245 99.648337) (xy 55.475 99.648337) (xy 55.475 97.915005) + (xy 64.857498 97.915005) (xy 64.87742 98.123639) (xy 64.877423 98.123656) (xy 64.93647 98.324755) + (xy 65.032518 98.511062) (xy 65.162079 98.67581) (xy 65.162083 98.675814) (xy 65.320481 98.813068) + (xy 65.320492 98.813076) (xy 65.502002 98.91787) (xy 65.502004 98.917871) (xy 65.700075 98.986424) + (xy 65.907541 99.016253) (xy 66.116902 99.00628) (xy 66.320593 98.956865) (xy 66.511251 98.869795) + (xy 66.681985 98.748215) (xy 66.69065 98.739128) (xy 66.730858 98.696959) (xy 66.826625 98.596522) + (xy 66.939943 98.420196) (xy 67.017843 98.225611) (xy 67.05751 98.019799) (xy 67.06 97.915) (xy 67.05751 97.810201) + (xy 67.017843 97.604389) (xy 66.939943 97.409804) (xy 66.826625 97.233478) (xy 66.717616 97.119153) + (xy 66.681987 97.081786) (xy 66.681984 97.081784) (xy 66.669142 97.072639) (xy 76.2395 97.072639) + (xy 76.240949 97.081786) (xy 76.259043 97.196033) (xy 76.266598 97.243729) (xy 76.320127 97.408473) + (xy 76.398768 97.562816) (xy 76.500586 97.702956) (xy 76.623072 97.825442) (xy 76.763212 97.92726) + (xy 76.917555 98.005901) (xy 77.082299 98.05943) (xy 77.253389 98.086528) (xy 77.25339 98.086528) + (xy 77.42661 98.086528) (xy 77.426611 98.086528) (xy 77.597701 98.05943) (xy 77.762445 98.005901) + (xy 77.916788 97.92726) (xy 78.056928 97.825442) (xy 78.179414 97.702956) (xy 78.281232 97.562816) + (xy 78.359873 97.408473) (xy 78.413402 97.243729) (xy 78.433968 97.113878) (xy 80.8895 97.113878) + (xy 80.906596 97.243725) (xy 80.922874 97.367368) (xy 80.98905 97.61434) (xy 80.989053 97.61435) + (xy 81.086894 97.850559) (xy 81.086899 97.85057) (xy 81.214734 98.071985) (xy 81.214745 98.072001) + (xy 81.370388 98.274839) (xy 81.370394 98.274846) (xy 81.551181 98.455633) (xy 81.551188 98.455639) + (xy 81.593358 98.487997) (xy 81.754035 98.611289) (xy 81.754042 98.611293) (xy 81.975457 98.739128) + (xy 81.975462 98.73913) (xy 81.975465 98.739132) (xy 82.211687 98.836978) (xy 82.45866 98.903154) + (xy 82.712157 98.936528) (xy 82.712164 98.936528) (xy 82.967836 98.936528) (xy 82.967843 98.936528) + (xy 83.22134 98.903154) (xy 83.468313 98.836978) (xy 83.704535 98.739132) (xy 83.925965 98.611289) + (xy 84.128813 98.455638) (xy 84.30961 98.274841) (xy 84.465261 98.071993) (xy 84.593104 97.850563) + (xy 84.69095 97.614341) (xy 84.757126 97.367368) (xy 84.7905 97.113871) (xy 84.7905 97.072639) (xy 87.2395 97.072639) + (xy 87.240949 97.081786) (xy 87.259043 97.196033) (xy 87.266598 97.243729) (xy 87.320127 97.408473) + (xy 87.398768 97.562816) (xy 87.500586 97.702956) (xy 87.623072 97.825442) (xy 87.763212 97.92726) + (xy 87.917555 98.005901) (xy 88.082299 98.05943) (xy 88.253389 98.086528) (xy 88.25339 98.086528) + (xy 88.42661 98.086528) (xy 88.426611 98.086528) (xy 88.597701 98.05943) (xy 88.762445 98.005901) + (xy 88.916788 97.92726) (xy 89.056928 97.825442) (xy 89.179414 97.702956) (xy 89.281232 97.562816) + (xy 89.359873 97.408473) (xy 89.413402 97.243729) (xy 89.4405 97.072639) (xy 89.4405 96.899417) + (xy 89.413402 96.728327) (xy 89.359873 96.563583) (xy 89.281232 96.40924) (xy 89.236983 96.348337) + (xy 90.7245 96.348337) (xy 90.724501 96.348355) (xy 90.73465 96.447707) (xy 90.734651 96.44771) + (xy 90.787996 96.608694) (xy 90.788001 96.608705) (xy 90.877029 96.75304) (xy 90.877032 96.753044) + (xy 90.996955 96.872967) (xy 90.996959 96.87297) (xy 91.141294 96.961998) (xy 91.141297 96.961999) + (xy 91.141303 96.962003) (xy 91.302292 97.015349) (xy 91.401655 97.0255) (xy 92.248344 97.025499) + (xy 92.248352 97.025498) (xy 92.248355 97.025498) (xy 92.30276 97.01994) (xy 92.347708 97.015349) + (xy 92.508697 96.962003) (xy 92.653044 96.872968) (xy 92.772968 96.753044) (xy 92.862003 96.608697) + (xy 92.915349 96.447708) (xy 92.9255 96.348345) (xy 92.925499 95.801656) (xy 92.915349 95.702292) + (xy 92.862003 95.541303) (xy 92.861999 95.541297) (xy 92.861998 95.541294) (xy 92.77297 95.396959) + (xy 92.772967 95.396955) (xy 92.66465 95.288638) (xy 94.4645 95.288638) (xy 94.488679 95.441303) + (xy 94.491598 95.459729) (xy 94.545127 95.624473) (xy 94.623768 95.778816) (xy 94.725586 95.918956) + (xy 94.848072 96.041442) (xy 94.988212 96.14326) (xy 95.142555 96.221901) (xy 95.307299 96.27543) + (xy 95.478389 96.302528) (xy 95.47839 96.302528) (xy 95.65161 96.302528) (xy 95.651611 96.302528) + (xy 95.822701 96.27543) (xy 95.987445 96.221901) (xy 96.141788 96.14326) (xy 96.281928 96.041442) + (xy 96.404414 95.918956) (xy 96.506232 95.778816) (xy 96.584873 95.624473) (xy 96.638402 95.459729) + (xy 96.658968 95.329878) (xy 99.1145 95.329878) (xy 99.131596 95.459725) (xy 99.147874 95.583368) + (xy 99.209962 95.815085) (xy 99.21405 95.83034) (xy 99.214053 95.83035) (xy 99.311894 96.066559) + (xy 99.311899 96.06657) (xy 99.439734 96.287985) (xy 99.439745 96.288001) (xy 99.595388 96.490839) + (xy 99.595394 96.490846) (xy 99.776181 96.671633) (xy 99.776187 96.671638) (xy 99.979035 96.827289) + (xy 99.979042 96.827293) (xy 100.200457 96.955128) (xy 100.200462 96.95513) (xy 100.200465 96.955132) + (xy 100.436687 97.052978) (xy 100.68366 97.119154) (xy 100.937157 97.152528) (xy 100.937164 97.152528) + (xy 101.192836 97.152528) (xy 101.192843 97.152528) (xy 101.44634 97.119154) (xy 101.693313 97.052978) + (xy 101.929535 96.955132) (xy 102.150965 96.827289) (xy 102.353813 96.671638) (xy 102.53461 96.490841) + (xy 102.690261 96.287993) (xy 102.818104 96.066563) (xy 102.91595 95.830341) (xy 102.982126 95.583368) + (xy 103.0155 95.329871) (xy 103.0155 95.074185) (xy 102.982126 94.820688) (xy 102.91595 94.573715) + (xy 102.91228 94.564856) (xy 102.859734 94.437997) (xy 102.818104 94.337493) (xy 102.818102 94.33749) + (xy 102.8181 94.337485) (xy 102.690265 94.11607) (xy 102.690261 94.116063) (xy 102.592217 93.98829) + (xy 102.534611 93.913216) (xy 102.534605 93.913209) (xy 102.353818 93.732422) (xy 102.353811 93.732416) + (xy 102.150973 93.576773) (xy 102.150971 93.576771) (xy 102.150965 93.576767) (xy 102.15096 93.576764) + (xy 102.150957 93.576762) (xy 101.929542 93.448927) (xy 101.929531 93.448922) (xy 101.693322 93.351081) + (xy 101.693315 93.351079) (xy 101.693313 93.351078) (xy 101.44634 93.284902) (xy 101.376688 93.275732) + (xy 101.19285 93.251528) (xy 101.192843 93.251528) (xy 100.937157 93.251528) (xy 100.937149 93.251528) + (xy 100.711826 93.281193) (xy 100.68366 93.284902) (xy 100.436687 93.351078) (xy 100.436677 93.351081) + (xy 100.200468 93.448922) (xy 100.200457 93.448927) (xy 99.979042 93.576762) (xy 99.979026 93.576773) + (xy 99.776188 93.732416) (xy 99.776181 93.732422) (xy 99.595394 93.913209) (xy 99.595388 93.913216) + (xy 99.439745 94.116054) (xy 99.439734 94.11607) (xy 99.311899 94.337485) (xy 99.311894 94.337496) + (xy 99.214053 94.573705) (xy 99.21405 94.573715) (xy 99.194426 94.646955) (xy 99.147874 94.820689) + (xy 99.1145 95.074177) (xy 99.1145 95.329878) (xy 96.658968 95.329878) (xy 96.6655 95.288639) (xy 96.6655 95.115417) + (xy 96.638402 94.944327) (xy 96.584873 94.779583) (xy 96.506232 94.62524) (xy 96.404414 94.4851) + (xy 96.281928 94.362614) (xy 96.141788 94.260796) (xy 95.987445 94.182155) (xy 95.822701 94.128626) + (xy 95.822699 94.128625) (xy 95.822698 94.128625) (xy 95.691271 94.107809) (xy 95.651611 94.101528) + (xy 95.478389 94.101528) (xy 95.446192 94.106627) (xy 95.307301 94.128625) (xy 95.307302 94.128625) + (xy 95.142552 94.182156) (xy 94.988211 94.260796) (xy 94.908256 94.318887) (xy 94.848072 94.362614) + (xy 94.84807 94.362616) (xy 94.848069 94.362616) (xy 94.725588 94.485097) (xy 94.725588 94.485098) + (xy 94.725586 94.4851) (xy 94.704546 94.514059) (xy 94.623768 94.625239) (xy 94.545128 94.77958) + (xy 94.491597 94.94433) (xy 94.4645 95.115417) (xy 94.4645 95.288638) (xy 92.66465 95.288638) (xy 92.653044 95.277032) + (xy 92.65304 95.277029) (xy 92.508705 95.188001) (xy 92.508699 95.187998) (xy 92.508697 95.187997) + (xy 92.475607 95.177032) (xy 92.347709 95.134651) (xy 92.248346 95.1245) (xy 91.401662 95.1245) + (xy 91.401644 95.124501) (xy 91.302292 95.13465) (xy 91.302289 95.134651) (xy 91.141305 95.187996) + (xy 91.141294 95.188001) (xy 90.996959 95.277029) (xy 90.996955 95.277032) (xy 90.877032 95.396955) + (xy 90.877029 95.396959) (xy 90.788001 95.541294) (xy 90.787996 95.541305) (xy 90.734651 95.70229) + (xy 90.7245 95.801647) (xy 90.7245 96.348337) (xy 89.236983 96.348337) (xy 89.179414 96.2691) (xy 89.056928 96.146614) + (xy 88.916788 96.044796) (xy 88.762445 95.966155) (xy 88.597701 95.912626) (xy 88.597699 95.912625) + (xy 88.597698 95.912625) (xy 88.466271 95.891809) (xy 88.426611 95.885528) (xy 88.253389 95.885528) + (xy 88.213728 95.891809) (xy 88.082302 95.912625) (xy 87.917552 95.966156) (xy 87.763211 96.044796) + (xy 87.703349 96.088289) (xy 87.623072 96.146614) (xy 87.62307 96.146616) (xy 87.623069 96.146616) + (xy 87.500588 96.269097) (xy 87.500588 96.269098) (xy 87.500586 96.2691) (xy 87.4798 96.29771) (xy 87.398768 96.409239) + (xy 87.320128 96.56358) (xy 87.266597 96.72833) (xy 87.245426 96.862003) (xy 87.2395 96.899417) + (xy 87.2395 97.072639) (xy 84.7905 97.072639) (xy 84.7905 96.858185) (xy 84.757126 96.604688) (xy 84.69095 96.357715) + (xy 84.687068 96.348344) (xy 84.645652 96.248355) (xy 84.593104 96.121493) (xy 84.593102 96.12149) + (xy 84.5931 96.121485) (xy 84.465265 95.90007) (xy 84.465261 95.900063) (xy 84.344929 95.743243) + (xy 84.309611 95.697216) (xy 84.309605 95.697209) (xy 84.128818 95.516422) (xy 84.128811 95.516416) + (xy 83.925973 95.360773) (xy 83.925971 95.360771) (xy 83.925965 95.360767) (xy 83.92596 95.360764) + (xy 83.925957 95.360762) (xy 83.704542 95.232927) (xy 83.704531 95.232922) (xy 83.468322 95.135081) + (xy 83.468315 95.135079) (xy 83.468313 95.135078) (xy 83.22134 95.068902) (xy 83.165007 95.061485) + (xy 82.96785 95.035528) (xy 82.967843 95.035528) (xy 82.712157 95.035528) (xy 82.712149 95.035528) + (xy 82.486826 95.065193) (xy 82.45866 95.068902) (xy 82.285063 95.115417) (xy 82.211687 95.135078) + (xy 82.211677 95.135081) (xy 81.975468 95.232922) (xy 81.975457 95.232927) (xy 81.754042 95.360762) + (xy 81.754026 95.360773) (xy 81.551188 95.516416) (xy 81.551181 95.516422) (xy 81.370394 95.697209) + (xy 81.370388 95.697216) (xy 81.214745 95.900054) (xy 81.214734 95.90007) (xy 81.086899 96.121485) + (xy 81.086894 96.121496) (xy 80.989053 96.357705) (xy 80.98905 96.357715) (xy 80.925061 96.596528) + (xy 80.922874 96.604689) (xy 80.8895 96.858177) (xy 80.8895 97.113878) (xy 78.433968 97.113878) + (xy 78.4405 97.072639) (xy 78.4405 96.899417) (xy 78.413402 96.728327) (xy 78.359873 96.563583) + (xy 78.281232 96.40924) (xy 78.179414 96.2691) (xy 78.056928 96.146614) (xy 77.916788 96.044796) + (xy 77.762445 95.966155) (xy 77.597701 95.912626) (xy 77.597699 95.912625) (xy 77.597698 95.912625) + (xy 77.466271 95.891809) (xy 77.426611 95.885528) (xy 77.253389 95.885528) (xy 77.213728 95.891809) + (xy 77.082302 95.912625) (xy 76.917552 95.966156) (xy 76.763211 96.044796) (xy 76.703349 96.088289) + (xy 76.623072 96.146614) (xy 76.62307 96.146616) (xy 76.623069 96.146616) (xy 76.500588 96.269097) + (xy 76.500588 96.269098) (xy 76.500586 96.2691) (xy 76.4798 96.29771) (xy 76.398768 96.409239) (xy 76.320128 96.56358) + (xy 76.266597 96.72833) (xy 76.245426 96.862003) (xy 76.2395 96.899417) (xy 76.2395 97.072639) (xy 66.669142 97.072639) + (xy 66.511253 96.960206) (xy 66.511251 96.960205) (xy 66.320593 96.873135) (xy 66.116902 96.82372) + (xy 65.927489 96.814697) (xy 65.907543 96.813747) (xy 65.907542 96.813747) (xy 65.907541 96.813747) + (xy 65.700075 96.843576) (xy 65.700074 96.843576) (xy 65.700069 96.843577) (xy 65.502002 96.912129) + (xy 65.320492 97.016923) (xy 65.320481 97.016931) (xy 65.162083 97.154185) (xy 65.162079 97.154189) + (xy 65.032518 97.318937) (xy 64.93647 97.505244) (xy 64.877423 97.706343) (xy 64.87742 97.70636) + (xy 64.857498 97.914994) (xy 64.857498 97.915005) (xy 55.475 97.915005) (xy 55.475 93.186033) (xy 76.334357 93.186033) + (xy 76.35489 93.43384) (xy 76.354892 93.433852) (xy 76.415936 93.674909) (xy 76.515826 93.902634) + (xy 76.651833 94.11081) (xy 76.656669 94.116063) (xy 76.820256 94.293766) (xy 77.016491 94.446502) + (xy 77.23519 94.564856) (xy 77.470386 94.645599) (xy 77.715665 94.686528) (xy 77.964335 94.686528) + (xy 78.209614 94.645599) (xy 78.44481 94.564856) (xy 78.663509 94.446502) (xy 78.859744 94.293766) + (xy 79.028164 94.110813) (xy 79.164173 93.902635) (xy 79.264063 93.674909) (xy 79.325108 93.433849) + (xy 79.325109 93.43384) (xy 79.345643 93.186033) (xy 86.334357 93.186033) (xy 86.35489 93.43384) + (xy 86.354892 93.433852) (xy 86.415936 93.674909) (xy 86.515826 93.902634) (xy 86.651833 94.11081) + (xy 86.656669 94.116063) (xy 86.820256 94.293766) (xy 87.016491 94.446502) (xy 87.23519 94.564856) + (xy 87.470386 94.645599) (xy 87.715665 94.686528) (xy 87.964335 94.686528) (xy 88.209614 94.645599) + (xy 88.44481 94.564856) (xy 88.663509 94.446502) (xy 88.859744 94.293766) (xy 89.028164 94.110813) + (xy 89.164173 93.902635) (xy 89.264063 93.674909) (xy 89.325108 93.433849) (xy 89.325109 93.43384) + (xy 89.345643 93.186033) (xy 89.345643 93.186022) (xy 89.325109 92.938215) (xy 89.325107 92.938203) + (xy 89.264063 92.697146) (xy 89.164173 92.469421) (xy 89.028166 92.261245) (xy 89.006557 92.237772) + (xy 88.859744 92.07829) (xy 88.663509 91.925554) (xy 88.663507 91.925553) (xy 88.663506 91.925552) + (xy 88.444811 91.8072) (xy 88.444802 91.807197) (xy 88.209616 91.726457) (xy 87.964335 91.685528) + (xy 87.715665 91.685528) (xy 87.470383 91.726457) (xy 87.235197 91.807197) (xy 87.235188 91.8072) + (xy 87.016493 91.925552) (xy 86.820257 92.078289) (xy 86.651833 92.261245) (xy 86.515826 92.469421) + (xy 86.415936 92.697146) (xy 86.354892 92.938203) (xy 86.35489 92.938215) (xy 86.334357 93.186022) + (xy 86.334357 93.186033) (xy 79.345643 93.186033) (xy 79.345643 93.186022) (xy 79.325109 92.938215) + (xy 79.325107 92.938203) (xy 79.264063 92.697146) (xy 79.164173 92.469421) (xy 79.028166 92.261245) + (xy 79.006557 92.237772) (xy 78.859744 92.07829) (xy 78.663509 91.925554) (xy 78.663507 91.925553) + (xy 78.663506 91.925552) (xy 78.444811 91.8072) (xy 78.444802 91.807197) (xy 78.209616 91.726457) + (xy 77.964335 91.685528) (xy 77.715665 91.685528) (xy 77.470383 91.726457) (xy 77.235197 91.807197) + (xy 77.235188 91.8072) (xy 77.016493 91.925552) (xy 76.820257 92.078289) (xy 76.651833 92.261245) + (xy 76.515826 92.469421) (xy 76.415936 92.697146) (xy 76.354892 92.938203) (xy 76.35489 92.938215) + (xy 76.334357 93.186022) (xy 76.334357 93.186033) (xy 55.475 93.186033) (xy 55.475 88.618754) (xy 61.812499 88.618754) + (xy 61.817032 88.664773) (xy 61.85244 89.024288) (xy 61.852443 89.024305) (xy 61.931941 89.42397) + (xy 62.050236 89.813937) (xy 62.206183 90.190426) (xy 62.206185 90.190431) (xy 62.398273 90.549801) + (xy 62.398284 90.549819) (xy 62.624673 90.888634) (xy 62.624683 90.888648) (xy 62.883203 91.203655) + (xy 63.171344 91.491796) (xy 63.171349 91.4918) (xy 63.17135 91.491801) (xy 63.486357 91.750321) + (xy 63.825187 91.97672) (xy 63.825196 91.976725) (xy 63.825198 91.976726) (xy 64.184568 92.168814) + (xy 64.18457 92.168814) (xy 64.184576 92.168818) (xy 64.561064 92.324764) (xy 64.951024 92.443057) + (xy 65.350701 92.522558) (xy 65.756246 92.562501) (xy 66.163751 92.562501) (xy 66.163754 92.562501) + (xy 66.569299 92.522558) (xy 66.968976 92.443057) (xy 67.358936 92.324764) (xy 67.735424 92.168818) + (xy 68.094813 91.97672) (xy 68.433643 91.750321) (xy 68.74865 91.491801) (xy 69.036801 91.20365) + (xy 69.133327 91.086033) (xy 81.334357 91.086033) (xy 81.35489 91.33384) (xy 81.354892 91.333852) + (xy 81.415936 91.574909) (xy 81.515826 91.802634) (xy 81.651833 92.01081) (xy 81.651836 92.010813) + (xy 81.820256 92.193766) (xy 82.016491 92.346502) (xy 82.23519 92.464856) (xy 82.470386 92.545599) + (xy 82.715665 92.586528) (xy 82.964335 92.586528) (xy 83.209614 92.545599) (xy 83.44481 92.464856) + (xy 83.663509 92.346502) (xy 83.859744 92.193766) (xy 84.028164 92.010813) (xy 84.164173 91.802635) + (xy 84.264063 91.574909) (xy 84.325108 91.333849) (xy 84.325109 91.33384) (xy 84.345643 91.086033) + (xy 84.345643 91.086022) (xy 84.325109 90.838215) (xy 84.325107 90.838203) (xy 84.264063 90.597146) + (xy 84.164173 90.369421) (xy 84.028166 90.161245) (xy 83.98375 90.112997) (xy 83.859744 89.97829) + (xy 83.663509 89.825554) (xy 83.663507 89.825553) (xy 83.663506 89.825552) (xy 83.444811 89.7072) + (xy 83.444802 89.707197) (xy 83.209616 89.626457) (xy 82.964335 89.585528) (xy 82.715665 89.585528) + (xy 82.470383 89.626457) (xy 82.235197 89.707197) (xy 82.235188 89.7072) (xy 82.016493 89.825552) + (xy 81.820257 89.978289) (xy 81.651833 90.161245) (xy 81.515826 90.369421) (xy 81.415936 90.597146) + (xy 81.354892 90.838203) (xy 81.35489 90.838215) (xy 81.334357 91.086022) (xy 81.334357 91.086033) + (xy 69.133327 91.086033) (xy 69.295321 90.888643) (xy 69.52172 90.549813) (xy 69.713818 90.190424) + (xy 69.869764 89.813936) (xy 69.988057 89.423976) (xy 70.067558 89.024299) (xy 70.107501 88.618754) + (xy 70.11 88.415) (xy 70.107501 88.211246) (xy 70.067558 87.805701) (xy 69.988057 87.406024) (xy 69.869764 87.016064) + (xy 69.713818 86.639576) (xy 69.633885 86.490033) (xy 69.521726 86.280198) (xy 69.521725 86.280196) + (xy 69.52172 86.280187) (xy 69.295321 85.941357) (xy 69.036801 85.62635) (xy 69.0368 85.626349) + (xy 69.036796 85.626344) (xy 68.748655 85.338203) (xy 68.433648 85.079683) (xy 68.433647 85.079682) + (xy 68.433643 85.079679) (xy 68.094813 84.85328) (xy 68.094808 84.853277) (xy 68.094801 84.853273) + (xy 67.735431 84.661185) (xy 67.735426 84.661183) (xy 67.72531 84.656993) (xy 67.531051 84.576528) + (xy 67.358937 84.505236) (xy 66.96897 84.386941) (xy 66.569305 84.307443) (xy 66.569288 84.30744) + (xy 66.262612 84.277235) (xy 66.163754 84.267499) (xy 66.163751 84.267499) (xy 65.756249 84.267499) + (xy 65.756246 84.267499) (xy 65.664816 84.276504) (xy 65.350711 84.30744) (xy 65.350694 84.307443) + (xy 64.951029 84.386941) (xy 64.561062 84.505236) (xy 64.184573 84.661183) (xy 64.184568 84.661185) + (xy 63.825198 84.853273) (xy 63.82518 84.853284) (xy 63.486365 85.079673) (xy 63.486351 85.079683) + (xy 63.171344 85.338203) (xy 62.883203 85.626344) (xy 62.624683 85.941351) (xy 62.624673 85.941365) + (xy 62.398284 86.28018) (xy 62.398273 86.280198) (xy 62.206185 86.639568) (xy 62.206183 86.639573) + (xy 62.050236 87.016062) (xy 61.931941 87.406029) (xy 61.852443 87.805694) (xy 61.85244 87.805711) + (xy 61.826144 88.072707) (xy 61.812499 88.211246) (xy 61.812499 88.618754) (xy 55.475 88.618754) + (xy 55.475 81.298337) (xy 90.7995 81.298337) (xy 90.799501 81.298355) (xy 90.80965 81.397707) (xy 90.809651 81.39771) + (xy 90.862996 81.558694) (xy 90.863001 81.558705) (xy 90.952029 81.70304) (xy 90.952032 81.703044) + (xy 91.071955 81.822967) (xy 91.071959 81.82297) (xy 91.216294 81.911998) (xy 91.216297 81.911999) + (xy 91.216303 81.912003) (xy 91.377292 81.965349) (xy 91.476655 81.9755) (xy 92.323344 81.975499) + (xy 92.323352 81.975498) (xy 92.323355 81.975498) (xy 92.37776 81.96994) (xy 92.422708 81.965349) + (xy 92.583697 81.912003) (xy 92.728044 81.822968) (xy 92.847968 81.703044) (xy 92.937003 81.558697) + (xy 92.990349 81.397708) (xy 93.0005 81.298345) (xy 93.000499 80.751656) (xy 92.995403 80.701773) + (xy 92.990349 80.652292) (xy 92.990348 80.652289) (xy 92.978042 80.615153) (xy 92.937003 80.491303) + (xy 92.936999 80.491297) (xy 92.936998 80.491294) (xy 92.84797 80.346959) (xy 92.847967 80.346955) + (xy 92.728044 80.227032) (xy 92.72804 80.227029) (xy 92.583705 80.138001) (xy 92.583699 80.137998) + (xy 92.583697 80.137997) (xy 92.583694 80.137996) (xy 92.422709 80.084651) (xy 92.323346 80.0745) + (xy 91.476662 80.0745) (xy 91.476644 80.074501) (xy 91.377292 80.08465) (xy 91.377289 80.084651) + (xy 91.216305 80.137996) (xy 91.216294 80.138001) (xy 91.071959 80.227029) (xy 91.071955 80.227032) + (xy 90.952032 80.346955) (xy 90.952029 80.346959) (xy 90.863001 80.491294) (xy 90.862996 80.491305) + (xy 90.809651 80.65229) (xy 90.7995 80.751647) (xy 90.7995 81.298337) (xy 55.475 81.298337) (xy 55.475 78.915005) + (xy 64.857499 78.915005) (xy 64.877421 79.123639) (xy 64.877424 79.123656) (xy 64.936471 79.324754) + (xy 65.032517 79.511057) (xy 65.162081 79.675812) (xy 65.162087 79.675818) (xy 65.320487 79.813071) + (xy 65.481199 79.905858) (xy 65.502005 79.91787) (xy 65.700076 79.986423) (xy 65.907541 80.016252) + (xy 66.116902 80.006279) (xy 66.320593 79.956864) (xy 66.511251 79.869794) (xy 66.681985 79.748215) + (xy 66.826624 79.596521) (xy 66.939942 79.420195) (xy 67.017842 79.22561) (xy 67.057509 79.019799) + (xy 67.059999 78.915) (xy 67.057509 78.810201) (xy 67.052582 78.784639) (xy 76.2395 78.784639) (xy 76.266598 78.955729) + (xy 76.320127 79.120473) (xy 76.398768 79.274816) (xy 76.500586 79.414956) (xy 76.623072 79.537442) + (xy 76.763212 79.63926) (xy 76.917555 79.717901) (xy 77.082299 79.77143) (xy 77.253389 79.798528) + (xy 77.25339 79.798528) (xy 77.42661 79.798528) (xy 77.426611 79.798528) (xy 77.597701 79.77143) + (xy 77.762445 79.717901) (xy 77.916788 79.63926) (xy 78.056928 79.537442) (xy 78.179414 79.414956) + (xy 78.281232 79.274816) (xy 78.359873 79.120473) (xy 78.413402 78.955729) (xy 78.433968 78.825878) + (xy 80.8895 78.825878) (xy 80.907047 78.959151) (xy 80.922874 79.079368) (xy 80.966889 79.243634) + (xy 80.98905 79.32634) (xy 80.989053 79.32635) (xy 81.086894 79.562559) (xy 81.086899 79.56257) + (xy 81.214734 79.783985) (xy 81.214745 79.784001) (xy 81.370388 79.986839) (xy 81.370394 79.986846) + (xy 81.551181 80.167633) (xy 81.551188 80.167639) (xy 81.672555 80.260767) (xy 81.754035 80.323289) + (xy 81.754042 80.323293) (xy 81.975457 80.451128) (xy 81.975462 80.45113) (xy 81.975465 80.451132) + (xy 82.211687 80.548978) (xy 82.45866 80.615154) (xy 82.712157 80.648528) (xy 82.712164 80.648528) + (xy 82.967836 80.648528) (xy 82.967843 80.648528) (xy 83.22134 80.615154) (xy 83.468313 80.548978) + (xy 83.704535 80.451132) (xy 83.925965 80.323289) (xy 84.128813 80.167638) (xy 84.30961 79.986841) + (xy 84.465261 79.783993) (xy 84.593104 79.562563) (xy 84.69095 79.326341) (xy 84.757126 79.079368) + (xy 84.7905 78.825871) (xy 84.7905 78.784639) (xy 87.2395 78.784639) (xy 87.266598 78.955729) (xy 87.320127 79.120473) + (xy 87.398768 79.274816) (xy 87.500586 79.414956) (xy 87.623072 79.537442) (xy 87.763212 79.63926) + (xy 87.917555 79.717901) (xy 88.082299 79.77143) (xy 88.253389 79.798528) (xy 88.25339 79.798528) + (xy 88.42661 79.798528) (xy 88.426611 79.798528) (xy 88.597701 79.77143) (xy 88.762445 79.717901) + (xy 88.916788 79.63926) (xy 89.056928 79.537442) (xy 89.179414 79.414956) (xy 89.281232 79.274816) + (xy 89.359873 79.120473) (xy 89.413402 78.955729) (xy 89.4405 78.784639) (xy 89.4405 78.611417) + (xy 89.413402 78.440327) (xy 89.359873 78.275583) (xy 89.281232 78.12124) (xy 89.191937 77.998337) + (xy 90.7995 77.998337) (xy 90.799501 77.998355) (xy 90.80965 78.097707) (xy 90.809651 78.09771) + (xy 90.862996 78.258694) (xy 90.863001 78.258705) (xy 90.952029 78.40304) (xy 90.952032 78.403044) + (xy 91.071955 78.522967) (xy 91.071959 78.52297) (xy 91.216294 78.611998) (xy 91.216297 78.611999) + (xy 91.216303 78.612003) (xy 91.377292 78.665349) (xy 91.476655 78.6755) (xy 92.323344 78.675499) + (xy 92.323352 78.675498) (xy 92.323355 78.675498) (xy 92.405292 78.667128) (xy 92.422708 78.665349) + (xy 92.583697 78.612003) (xy 92.728044 78.522968) (xy 92.847968 78.403044) (xy 92.937003 78.258697) + (xy 92.990349 78.097708) (xy 93.0005 77.998345) (xy 93.000499 77.451656) (xy 93.000181 77.448547) + (xy 92.990349 77.352292) (xy 92.990348 77.352289) (xy 92.961938 77.266554) (xy 92.937003 77.191303) + (xy 92.936999 77.191297) (xy 92.936998 77.191294) (xy 92.84797 77.046959) (xy 92.847967 77.046955) + (xy 92.728044 76.927032) (xy 92.72804 76.927029) (xy 92.583705 76.838001) (xy 92.583699 76.837998) + (xy 92.583697 76.837997) (xy 92.583694 76.837996) (xy 92.422709 76.784651) (xy 92.323346 76.7745) + (xy 91.476662 76.7745) (xy 91.476644 76.774501) (xy 91.377292 76.78465) (xy 91.377289 76.784651) + (xy 91.216305 76.837996) (xy 91.216294 76.838001) (xy 91.071959 76.927029) (xy 91.071955 76.927032) + (xy 90.952032 77.046955) (xy 90.952029 77.046959) (xy 90.863001 77.191294) (xy 90.862996 77.191305) + (xy 90.809651 77.35229) (xy 90.7995 77.451647) (xy 90.7995 77.998337) (xy 89.191937 77.998337) (xy 89.179414 77.9811) + (xy 89.056928 77.858614) (xy 88.916788 77.756796) (xy 88.762445 77.678155) (xy 88.597701 77.624626) + (xy 88.597699 77.624625) (xy 88.597698 77.624625) (xy 88.45638 77.602243) (xy 88.426611 77.597528) + (xy 88.253389 77.597528) (xy 88.22362 77.602243) (xy 88.082302 77.624625) (xy 87.917552 77.678156) + (xy 87.763211 77.756796) (xy 87.694503 77.806716) (xy 87.623072 77.858614) (xy 87.62307 77.858616) + (xy 87.623069 77.858616) (xy 87.500588 77.981097) (xy 87.500588 77.981098) (xy 87.500586 77.9811) + (xy 87.45914 78.038146) (xy 87.398768 78.121239) (xy 87.320128 78.27558) (xy 87.320127 78.275582) + (xy 87.320127 78.275583) (xy 87.318947 78.279215) (xy 87.266597 78.44033) (xy 87.240613 78.60439) + (xy 87.2395 78.611417) (xy 87.2395 78.784639) (xy 84.7905 78.784639) (xy 84.7905 78.570185) (xy 84.757126 78.316688) + (xy 84.69095 78.069715) (xy 84.593104 77.833493) (xy 84.593102 77.83349) (xy 84.5931 77.833485) + (xy 84.465265 77.61207) (xy 84.465261 77.612063) (xy 84.397179 77.523337) (xy 84.309611 77.409216) + (xy 84.309605 77.409209) (xy 84.128818 77.228422) (xy 84.128811 77.228416) (xy 83.925973 77.072773) + (xy 83.925971 77.072771) (xy 83.925965 77.072767) (xy 83.92596 77.072764) (xy 83.925957 77.072762) + (xy 83.704542 76.944927) (xy 83.704531 76.944922) (xy 83.468322 76.847081) (xy 83.468315 76.847079) + (xy 83.468313 76.847078) (xy 83.22134 76.780902) (xy 83.165007 76.773485) (xy 82.96785 76.747528) + (xy 82.967843 76.747528) (xy 82.712157 76.747528) (xy 82.712149 76.747528) (xy 82.486826 76.777193) + (xy 82.45866 76.780902) (xy 82.285541 76.827289) (xy 82.211687 76.847078) (xy 82.211677 76.847081) + (xy 81.975468 76.944922) (xy 81.975457 76.944927) (xy 81.754042 77.072762) (xy 81.754026 77.072773) + (xy 81.551188 77.228416) (xy 81.551181 77.228422) (xy 81.370394 77.409209) (xy 81.370388 77.409216) + (xy 81.214745 77.612054) (xy 81.214734 77.61207) (xy 81.086899 77.833485) (xy 81.086894 77.833496) + (xy 80.989053 78.069705) (xy 80.98905 78.069715) (xy 80.938411 78.258705) (xy 80.922874 78.316689) + (xy 80.8895 78.570177) (xy 80.8895 78.825878) (xy 78.433968 78.825878) (xy 78.4405 78.784639) (xy 78.4405 78.611417) + (xy 78.413402 78.440327) (xy 78.359873 78.275583) (xy 78.281232 78.12124) (xy 78.179414 77.9811) + (xy 78.056928 77.858614) (xy 77.916788 77.756796) (xy 77.762445 77.678155) (xy 77.597701 77.624626) + (xy 77.597699 77.624625) (xy 77.597698 77.624625) (xy 77.45638 77.602243) (xy 77.426611 77.597528) + (xy 77.253389 77.597528) (xy 77.22362 77.602243) (xy 77.082302 77.624625) (xy 76.917552 77.678156) + (xy 76.763211 77.756796) (xy 76.694503 77.806716) (xy 76.623072 77.858614) (xy 76.62307 77.858616) + (xy 76.623069 77.858616) (xy 76.500588 77.981097) (xy 76.500588 77.981098) (xy 76.500586 77.9811) + (xy 76.45914 78.038146) (xy 76.398768 78.121239) (xy 76.320128 78.27558) (xy 76.320127 78.275582) + (xy 76.320127 78.275583) (xy 76.318947 78.279215) (xy 76.266597 78.44033) (xy 76.240613 78.60439) + (xy 76.2395 78.611417) (xy 76.2395 78.784639) (xy 67.052582 78.784639) (xy 67.017842 78.60439) (xy 66.939942 78.409805) + (xy 66.826624 78.233479) (xy 66.826623 78.233478) (xy 66.826622 78.233476) (xy 66.681992 78.081791) + (xy 66.681986 78.081786) (xy 66.634494 78.047967) (xy 66.511251 77.960206) (xy 66.511249 77.960205) + (xy 66.51125 77.960205) (xy 66.439696 77.927528) (xy 66.320593 77.873136) (xy 66.116902 77.823721) + (xy 65.927489 77.814698) (xy 65.907543 77.813748) (xy 65.907542 77.813748) (xy 65.907541 77.813748) + (xy 65.700076 77.843577) (xy 65.700075 77.843577) (xy 65.70007 77.843578) (xy 65.502003 77.91213) + (xy 65.320487 78.016928) (xy 65.162087 78.154181) (xy 65.162081 78.154187) (xy 65.032517 78.318942) + (xy 64.936471 78.505245) (xy 64.877424 78.706343) (xy 64.877421 78.70636) (xy 64.857499 78.914994) + (xy 64.857499 78.915005) (xy 55.475 78.915005) (xy 55.475 74.898033) (xy 76.334357 74.898033) (xy 76.35489 75.14584) + (xy 76.354892 75.145852) (xy 76.415936 75.386909) (xy 76.515826 75.614634) (xy 76.651833 75.82281) + (xy 76.656669 75.828063) (xy 76.820256 76.005766) (xy 77.016491 76.158502) (xy 77.054765 76.179215) + (xy 77.18464 76.2495) (xy 77.23519 76.276856) (xy 77.470386 76.357599) (xy 77.715665 76.398528) + (xy 77.964335 76.398528) (xy 78.209614 76.357599) (xy 78.44481 76.276856) (xy 78.663509 76.158502) + (xy 78.859744 76.005766) (xy 79.028164 75.822813) (xy 79.164173 75.614635) (xy 79.264063 75.386909) + (xy 79.325108 75.145849) (xy 79.325109 75.14584) (xy 79.345643 74.898033) (xy 86.334357 74.898033) + (xy 86.35489 75.14584) (xy 86.354892 75.145852) (xy 86.415936 75.386909) (xy 86.515826 75.614634) + (xy 86.651833 75.82281) (xy 86.656669 75.828063) (xy 86.820256 76.005766) (xy 87.016491 76.158502) + (xy 87.054765 76.179215) (xy 87.18464 76.2495) (xy 87.23519 76.276856) (xy 87.470386 76.357599) + (xy 87.715665 76.398528) (xy 87.964335 76.398528) (xy 88.209614 76.357599) (xy 88.44481 76.276856) + (xy 88.663509 76.158502) (xy 88.859744 76.005766) (xy 89.028164 75.822813) (xy 89.164173 75.614635) + (xy 89.264063 75.386909) (xy 89.325108 75.145849) (xy 89.325109 75.14584) (xy 89.345643 74.898033) + (xy 89.345643 74.898022) (xy 89.325109 74.650215) (xy 89.325107 74.650203) (xy 89.264063 74.409146) + (xy 89.164173 74.181421) (xy 89.028166 73.973245) (xy 88.966047 73.905766) (xy 88.859744 73.79029) + (xy 88.663509 73.637554) (xy 88.663507 73.637553) (xy 88.663506 73.637552) (xy 88.444811 73.5192) + (xy 88.444802 73.519197) (xy 88.209616 73.438457) (xy 87.964335 73.397528) (xy 87.715665 73.397528) + (xy 87.470383 73.438457) (xy 87.235197 73.519197) (xy 87.235188 73.5192) (xy 87.016493 73.637552) + (xy 86.820257 73.790289) (xy 86.651833 73.973245) (xy 86.515826 74.181421) (xy 86.415936 74.409146) + (xy 86.354892 74.650203) (xy 86.35489 74.650215) (xy 86.334357 74.898022) (xy 86.334357 74.898033) + (xy 79.345643 74.898033) (xy 79.345643 74.898022) (xy 79.325109 74.650215) (xy 79.325107 74.650203) + (xy 79.264063 74.409146) (xy 79.164173 74.181421) (xy 79.028166 73.973245) (xy 78.966047 73.905766) + (xy 78.859744 73.79029) (xy 78.663509 73.637554) (xy 78.663507 73.637553) (xy 78.663506 73.637552) + (xy 78.444811 73.5192) (xy 78.444802 73.519197) (xy 78.209616 73.438457) (xy 77.964335 73.397528) + (xy 77.715665 73.397528) (xy 77.470383 73.438457) (xy 77.235197 73.519197) (xy 77.235188 73.5192) + (xy 77.016493 73.637552) (xy 76.820257 73.790289) (xy 76.651833 73.973245) (xy 76.515826 74.181421) + (xy 76.415936 74.409146) (xy 76.354892 74.650203) (xy 76.35489 74.650215) (xy 76.334357 74.898022) + (xy 76.334357 74.898033) (xy 55.475 74.898033) (xy 55.475 59.75) (xy 57.16954 59.75) (xy 57.189326 59.938256) + (xy 57.189327 59.938259) (xy 57.247818 60.118277) (xy 57.247821 60.118284) (xy 57.324613 60.251293) + (xy 57.342467 60.282216) (xy 57.442648 60.393479) (xy 57.472879 60.456469) (xy 57.474499 60.47645) + (xy 57.474499 60.479054) (xy 57.474498 60.479054) (xy 57.515423 60.631785) (xy 57.531223 60.659151) + (xy 57.531222 60.659151) (xy 57.531223 60.659152) (xy 57.594475 60.768709) (xy 57.594481 60.768717) + (xy 57.713349 60.887585) (xy 57.713355 60.88759) (xy 59.425138 62.599373) (xy 59.425148 62.599384) + (xy 59.429478 62.603714) (xy 59.429479 62.603715) (xy 59.541283 62.715519) (xy 59.541285 62.71552) + (xy 59.541289 62.715523) (xy 59.676325 62.793485) (xy 59.678215 62.794576) (xy 59.790018 62.824533) + (xy 59.830941 62.835499) (xy 59.830942 62.835499) (xy 60.243937 62.835499) (xy 60.310976 62.855184) + (xy 60.343204 62.885189) (xy 60.352454 62.897545) (xy 60.357946 62.901656) (xy 60.467664 62.983792) + (xy 60.467671 62.983796) (xy 60.602517 63.03409) (xy 60.602516 63.03409) (xy 60.609444 63.034834) + (xy 60.662127 63.040499) (xy 62.757872 63.040498) (xy 62.817483 63.03409) (xy 62.817491 63.034086) + (xy 62.824893 63.032338) (xy 62.894663 63.036074) (xy 62.941098 63.065332) (xy 62.963181 63.087415) + (xy 62.996666 63.148738) (xy 62.9995 63.175096) (xy 62.9995 64.325204) (xy 62.979815 64.392243) + (xy 62.927011 64.437998) (xy 62.857853 64.447942) (xy 62.832168 64.441386) (xy 62.817486 64.43591) + (xy 62.817484 64.435909) (xy 62.757884 64.429502) (xy 62.757882 64.429501) (xy 62.757874 64.429501) + (xy 62.757865 64.429501) (xy 60.66213 64.429501) (xy 60.662124 64.429502) (xy 60.602517 64.435909) + (xy 60.467672 64.486203) (xy 60.467665 64.486207) (xy 60.352456 64.572453) (xy 60.352453 64.572456) + (xy 60.266207 64.687665) (xy 60.266203 64.687672) (xy 60.237644 64.764245) (xy 60.21591 64.822518) + (xy 60.209501 64.882128) (xy 60.209501 64.882135) (xy 60.209501 64.882136) (xy 60.209501 65.587871) + (xy 60.209502 65.587877) (xy 60.21591 65.647486) (xy 60.232388 65.691667) (xy 60.237372 65.761359) + (xy 60.232389 65.77833) (xy 60.21591 65.822514) (xy 60.215909 65.822518) (xy 60.2095 65.882128) + (xy 60.2095 65.882135) (xy 60.2095 65.882136) (xy 60.2095 66.587871) (xy 60.209501 66.587877) (xy 60.215909 66.647486) + (xy 60.232387 66.691667) (xy 60.237371 66.761359) (xy 60.232387 66.778331) (xy 60.215909 66.822511) + (xy 60.215908 66.822515) (xy 60.215908 66.822517) (xy 60.209499 66.882127) (xy 60.209499 66.882134) + (xy 60.209499 66.882135) (xy 60.209499 67.58787) (xy 60.2095 67.587876) (xy 60.215908 67.647485) + (xy 60.232387 67.691669) (xy 60.237371 67.761361) (xy 60.232388 67.778332) (xy 60.21591 67.822513) + (xy 60.215909 67.822517) (xy 60.2095 67.882127) (xy 60.2095 67.882134) (xy 60.2095 67.882135) (xy 60.2095 68.58787) + (xy 60.209501 68.587876) (xy 60.215909 68.647485) (xy 60.232388 68.691669) (xy 60.237372 68.761361) + (xy 60.232388 68.778333) (xy 60.21591 68.822513) (xy 60.215909 68.822517) (xy 60.215909 68.822519) + (xy 60.2095 68.882129) (xy 60.2095 68.882136) (xy 60.2095 68.882137) (xy 60.2095 69.587872) (xy 60.209501 69.587878) + (xy 60.215908 69.647485) (xy 60.266202 69.78233) (xy 60.266206 69.782337) (xy 60.352452 69.897546) + (xy 60.352455 69.897549) (xy 60.467664 69.983795) (xy 60.467671 69.983799) (xy 60.602517 70.034093) + (xy 60.602516 70.034093) (xy 60.603056 70.034151) (xy 60.662127 70.040502) (xy 62.757872 70.040501) + (xy 62.817483 70.034093) (xy 62.952331 69.983798) (xy 62.97953 69.963436) (xy 63.044993 69.939018) + (xy 63.053842 69.938702) (xy 63.075605 69.938702) (xy 63.142644 69.958387) (xy 63.14849 69.962384) + (xy 63.247265 70.034148) (xy 63.24727 70.034151) (xy 63.420192 70.111142) (xy 63.420193 70.111142) + (xy 63.420197 70.111144) (xy 63.552246 70.139211) (xy 63.613727 70.172403) (xy 63.647504 70.233566) + (xy 63.642852 70.30328) (xy 63.601248 70.359413) (xy 63.535901 70.384141) (xy 63.526465 70.384501) + (xy 62.662129 70.384501) (xy 62.662123 70.384502) (xy 62.602516 70.390909) (xy 62.467671 70.441203) + (xy 62.467664 70.441207) (xy 62.352455 70.527453) (xy 62.352452 70.527456) (xy 62.266206 70.642665) + (xy 62.266202 70.642672) (xy 62.215908 70.777518) (xy 62.209501 70.837117) (xy 62.209501 70.837124) + (xy 62.2095 70.837136) (xy 62.2095 73.612871) (xy 62.209501 73.612877) (xy 62.215908 73.672484) + (xy 62.266202 73.807329) (xy 62.266206 73.807336) (xy 62.352452 73.922545) (xy 62.352455 73.922548) + (xy 62.467664 74.008794) (xy 62.467671 74.008798) (xy 62.602517 74.059092) (xy 62.602516 74.059092) + (xy 62.609444 74.059836) (xy 62.662127 74.065501) (xy 66.357872 74.0655) (xy 66.417483 74.059092) + (xy 66.552331 74.008797) (xy 66.667546 73.922547) (xy 66.753796 73.807332) (xy 66.804091 73.672484) + (xy 66.8105 73.612874) (xy 66.8105 72.798033) (xy 81.334357 72.798033) (xy 81.35489 73.04584) (xy 81.354892 73.045852) + (xy 81.415936 73.286909) (xy 81.515826 73.514634) (xy 81.651833 73.72281) (xy 81.651836 73.722813) + (xy 81.820256 73.905766) (xy 82.016491 74.058502) (xy 82.23519 74.176856) (xy 82.470386 74.257599) + (xy 82.715665 74.298528) (xy 82.964335 74.298528) (xy 83.209614 74.257599) (xy 83.44481 74.176856) + (xy 83.663509 74.058502) (xy 83.859744 73.905766) (xy 84.028164 73.722813) (xy 84.164173 73.514635) + (xy 84.264063 73.286909) (xy 84.325108 73.045849) (xy 84.32544 73.041841) (xy 84.345643 72.798033) + (xy 84.345643 72.798022) (xy 84.325109 72.550215) (xy 84.325107 72.550203) (xy 84.264063 72.309146) + (xy 84.164173 72.081421) (xy 84.028166 71.873245) (xy 83.997228 71.839638) (xy 83.859744 71.69029) + (xy 83.663509 71.537554) (xy 83.663507 71.537553) (xy 83.663506 71.537552) (xy 83.444811 71.4192) + (xy 83.444802 71.419197) (xy 83.209616 71.338457) (xy 82.964335 71.297528) (xy 82.715665 71.297528) + (xy 82.470383 71.338457) (xy 82.235197 71.419197) (xy 82.235188 71.4192) (xy 82.016493 71.537552) + (xy 81.820257 71.690289) (xy 81.651833 71.873245) (xy 81.515826 72.081421) (xy 81.415936 72.309146) + (xy 81.354892 72.550203) (xy 81.35489 72.550215) (xy 81.334357 72.798022) (xy 81.334357 72.798033) + (xy 66.8105 72.798033) (xy 66.810499 70.837129) (xy 66.804091 70.777518) (xy 66.801276 70.769971) + (xy 66.753797 70.642672) (xy 66.753793 70.642665) (xy 66.667547 70.527456) (xy 66.667544 70.527453) + (xy 66.552335 70.441207) (xy 66.552328 70.441203) (xy 66.417482 70.390909) (xy 66.417483 70.390909) + (xy 66.357883 70.384502) (xy 66.357881 70.384501) (xy 66.357873 70.384501) (xy 66.357865 70.384501) + (xy 63.873534 70.384501) (xy 63.806495 70.364816) (xy 63.76074 70.312012) (xy 63.750796 70.242854) + (xy 63.779821 70.179298) (xy 63.838599 70.141524) (xy 63.847713 70.13922) (xy 63.979803 70.111144) + (xy 63.979807 70.111142) (xy 63.979808 70.111142) (xy 64.038058 70.085206) (xy 64.15273 70.034151) + (xy 64.305871 69.922888) (xy 64.432533 69.782216) (xy 64.527179 69.618284) (xy 64.585674 69.438256) + (xy 64.60546 69.25) (xy 64.585674 69.061744) (xy 64.527179 68.881716) (xy 64.432533 68.717784) (xy 64.305871 68.577112) + (xy 64.30587 68.577111) (xy 64.152734 68.465851) (xy 64.152729 68.465848) (xy 63.979807 68.388857) + (xy 63.973626 68.386849) (xy 63.9741 68.385388) (xy 63.919508 68.355911) (xy 63.885736 68.294746) + (xy 63.890393 68.225031) (xy 63.919094 68.180141) (xy 65.75552 66.343716) (xy 65.834577 66.206784) + (xy 65.875501 66.054057) (xy 65.875501 65.895942) (xy 65.875501 65.888347) (xy 65.8755 65.888329) + (xy 65.8755 63.448337) (xy 90.8245 63.448337) (xy 90.824501 63.448355) (xy 90.83465 63.547707) (xy 90.834651 63.54771) + (xy 90.887996 63.708694) (xy 90.888001 63.708705) (xy 90.977029 63.85304) (xy 90.977032 63.853044) + (xy 91.096955 63.972967) (xy 91.096959 63.97297) (xy 91.241294 64.061998) (xy 91.241297 64.061999) + (xy 91.241303 64.062003) (xy 91.402292 64.115349) (xy 91.501655 64.1255) (xy 92.348344 64.125499) + (xy 92.348352 64.125498) (xy 92.348355 64.125498) (xy 92.40276 64.11994) (xy 92.447708 64.115349) + (xy 92.608697 64.062003) (xy 92.753044 63.972968) (xy 92.872968 63.853044) (xy 92.962003 63.708697) + (xy 92.962007 63.708684) (xy 92.963116 63.706309) (xy 92.964319 63.704941) (xy 92.965795 63.70255) + (xy 92.966203 63.702802) (xy 93.009286 63.653868) (xy 93.076479 63.634714) (xy 93.143361 63.654927) + (xy 93.188698 63.708091) (xy 93.1995 63.75871) (xy 93.1995 70.762358) (xy 93.199499 70.762376) (xy 93.199499 70.928082) + (xy 93.199498 70.928082) (xy 93.206336 70.953602) (xy 93.240423 71.080813) (xy 93.240424 71.080815) + (xy 93.240423 71.080815) (xy 93.25187 71.10064) (xy 93.251871 71.100642) (xy 93.319475 71.217737) + (xy 93.319481 71.217745) (xy 93.438349 71.336613) (xy 93.438355 71.336618) (xy 94.608482 72.506745) + (xy 94.641967 72.568068) (xy 94.641007 72.624866) (xy 94.579892 72.866202) (xy 94.57989 72.866215) + (xy 94.559357 73.114022) (xy 94.559357 73.114033) (xy 94.57989 73.36184) (xy 94.579892 73.361852) + (xy 94.640936 73.602909) (xy 94.740826 73.830634) (xy 94.876833 74.03881) (xy 94.876836 74.038813) + (xy 95.045256 74.221766) (xy 95.241491 74.374502) (xy 95.46019 74.492856) (xy 95.695386 74.573599) + (xy 95.940665 74.614528) (xy 96.189335 74.614528) (xy 96.434608 74.5736) (xy 96.434607 74.5736) + (xy 96.434614 74.573599) (xy 96.540607 74.53721) (xy 96.610402 74.534061) (xy 96.668548 74.566811) + (xy 96.688181 74.586444) (xy 96.721666 74.647767) (xy 96.7245 74.674125) (xy 96.7245 76.256027) + (xy 96.704815 76.323066) (xy 96.652011 76.368821) (xy 96.582853 76.378765) (xy 96.519297 76.34974) + (xy 96.500182 76.328913) (xy 96.488618 76.312997) (xy 96.404414 76.1971) (xy 96.281928 76.074614) + (xy 96.141788 75.972796) (xy 95.987445 75.894155) (xy 95.822701 75.840626) (xy 95.822699 75.840625) + (xy 95.822698 75.840625) (xy 95.691271 75.819809) (xy 95.651611 75.813528) (xy 95.478389 75.813528) + (xy 95.438728 75.819809) (xy 95.307302 75.840625) (xy 95.142552 75.894156) (xy 94.988211 75.972796) + (xy 94.908256 76.030887) (xy 94.848072 76.074614) (xy 94.84807 76.074616) (xy 94.848069 76.074616) + (xy 94.725588 76.197097) (xy 94.725588 76.197098) (xy 94.725586 76.1971) (xy 94.687515 76.2495) + (xy 94.623768 76.337239) (xy 94.545128 76.49158) (xy 94.491597 76.65633) (xy 94.469324 76.796959) + (xy 94.4645 76.827417) (xy 94.4645 77.000639) (xy 94.491598 77.171729) (xy 94.545127 77.336473) + (xy 94.623768 77.490816) (xy 94.725586 77.630956) (xy 94.848072 77.753442) (xy 94.988212 77.85526) + (xy 95.142555 77.933901) (xy 95.307299 77.98743) (xy 95.478389 78.014528) (xy 95.47839 78.014528) + (xy 95.65161 78.014528) (xy 95.651611 78.014528) (xy 95.822701 77.98743) (xy 95.987445 77.933901) + (xy 96.141788 77.85526) (xy 96.281928 77.753442) (xy 96.404414 77.630956) (xy 96.500182 77.499142) + (xy 96.555512 77.456477) (xy 96.625125 77.450498) (xy 96.68692 77.483104) (xy 96.721278 77.543943) + (xy 96.7245 77.572028) (xy 96.7245 89.841929) (xy 96.704815 89.908968) (xy 96.688181 89.92961) (xy 96.668548 89.949243) + (xy 96.607225 89.982728) (xy 96.540604 89.978843) (xy 96.434616 89.942457) (xy 96.189335 89.901528) + (xy 95.940665 89.901528) (xy 95.695383 89.942457) (xy 95.460197 90.023197) (xy 95.460188 90.0232) + (xy 95.241493 90.141552) (xy 95.045257 90.294289) (xy 94.876833 90.477245) (xy 94.740826 90.685421) + (xy 94.640936 90.913146) (xy 94.579892 91.154203) (xy 94.57989 91.154215) (xy 94.559357 91.402022) + (xy 94.559357 91.402033) (xy 94.57989 91.64984) (xy 94.579892 91.649852) (xy 94.640936 91.890909) + (xy 94.740826 92.118634) (xy 94.876833 92.32681) (xy 94.876836 92.326813) (xy 95.045256 92.509766) + (xy 95.241491 92.662502) (xy 95.46019 92.780856) (xy 95.695386 92.861599) (xy 95.940665 92.902528) + (xy 96.189335 92.902528) (xy 96.434614 92.861599) (xy 96.66981 92.780856) (xy 96.888509 92.662502) + (xy 97.084744 92.509766) (xy 97.253164 92.326813) (xy 97.389173 92.118635) (xy 97.489063 91.890909) + (xy 97.550108 91.649849) (xy 97.551742 91.630128) (xy 97.570643 91.402033) (xy 97.570643 91.402022) + (xy 97.550109 91.154215) (xy 97.550108 91.154211) (xy 97.550108 91.154207) (xy 97.529923 91.0745) + (xy 97.488992 90.912867) (xy 97.491616 90.843046) (xy 97.521514 90.794747) (xy 97.683506 90.632756) + (xy 97.683511 90.632752) (xy 97.693714 90.622548) (xy 97.693716 90.622548) (xy 97.80552 90.510744) + (xy 97.867998 90.402528) (xy 97.884577 90.373813) (xy 97.9255 90.221085) (xy 97.9255 90.062971) + (xy 97.9255 89.302033) (xy 99.559357 89.302033) (xy 99.57989 89.54984) (xy 99.579892 89.549852) + (xy 99.640936 89.790909) (xy 99.740826 90.018634) (xy 99.876833 90.22681) (xy 99.876836 90.226813) + (xy 100.045256 90.409766) (xy 100.241491 90.562502) (xy 100.46019 90.680856) (xy 100.695386 90.761599) + (xy 100.940665 90.802528) (xy 101.189335 90.802528) (xy 101.434614 90.761599) (xy 101.66981 90.680856) + (xy 101.888509 90.562502) (xy 102.084744 90.409766) (xy 102.253164 90.226813) (xy 102.389173 90.018635) + (xy 102.489063 89.790909) (xy 102.550108 89.549849) (xy 102.558002 89.454583) (xy 102.570643 89.302033) + (xy 102.570643 89.302022) (xy 102.550109 89.054215) (xy 102.550107 89.054203) (xy 102.489063 88.813146) + (xy 102.389173 88.585421) (xy 102.253166 88.377245) (xy 102.218445 88.339528) (xy 102.084744 88.19429) + (xy 101.888509 88.041554) (xy 101.888507 88.041553) (xy 101.888506 88.041552) (xy 101.669811 87.9232) + (xy 101.669802 87.923197) (xy 101.434616 87.842457) (xy 101.189335 87.801528) (xy 100.940665 87.801528) + (xy 100.695383 87.842457) (xy 100.460197 87.923197) (xy 100.460188 87.9232) (xy 100.241493 88.041552) + (xy 100.045257 88.194289) (xy 99.876833 88.377245) (xy 99.740826 88.585421) (xy 99.640936 88.813146) + (xy 99.579892 89.054203) (xy 99.57989 89.054215) (xy 99.559357 89.302022) (xy 99.559357 89.302033) + (xy 97.9255 89.302033) (xy 97.9255 86.077033) (xy 112.834357 86.077033) (xy 112.85489 86.32484) + (xy 112.854892 86.324852) (xy 112.915936 86.565909) (xy 113.015826 86.793634) (xy 113.151833 87.00181) + (xy 113.151836 87.001813) (xy 113.320256 87.184766) (xy 113.516491 87.337502) (xy 113.516493 87.337503) + (xy 113.681216 87.426647) (xy 113.73519 87.455856) (xy 113.970386 87.536599) (xy 114.215665 87.577528) + (xy 114.464335 87.577528) (xy 114.709614 87.536599) (xy 114.94481 87.455856) (xy 115.163509 87.337502) + (xy 115.359744 87.184766) (xy 115.528164 87.001813) (xy 115.664173 86.793635) (xy 115.764063 86.565909) + (xy 115.825108 86.324849) (xy 115.828809 86.280187) (xy 115.845643 86.077033) (xy 115.845643 86.077022) + (xy 115.825109 85.829215) (xy 115.825107 85.829203) (xy 115.764063 85.588146) (xy 115.664173 85.360421) + (xy 115.528166 85.152245) (xy 115.490381 85.1112) (xy 115.359744 84.96929) (xy 115.163509 84.816554) + (xy 115.163507 84.816553) (xy 115.163506 84.816552) (xy 114.944811 84.6982) (xy 114.944802 84.698197) + (xy 114.709616 84.617457) (xy 114.464335 84.576528) (xy 114.215665 84.576528) (xy 113.970383 84.617457) + (xy 113.735197 84.698197) (xy 113.735188 84.6982) (xy 113.516493 84.816552) (xy 113.320257 84.969289) + (xy 113.151833 85.152245) (xy 113.015826 85.360421) (xy 112.915936 85.588146) (xy 112.854892 85.829203) + (xy 112.85489 85.829215) (xy 112.834357 86.077022) (xy 112.834357 86.077033) (xy 97.9255 86.077033) + (xy 97.9255 83.977028) (xy 117.834357 83.977028) (xy 117.85489 84.22484) (xy 117.854892 84.224852) + (xy 117.915936 84.465909) (xy 118.015826 84.693634) (xy 118.151833 84.90181) (xy 118.151836 84.901813) + (xy 118.320256 85.084766) (xy 118.516491 85.237502) (xy 118.73519 85.355856) (xy 118.970386 85.436599) + (xy 119.215665 85.477528) (xy 119.464335 85.477528) (xy 119.709614 85.436599) (xy 119.94481 85.355856) + (xy 120.163509 85.237502) (xy 120.359744 85.084766) (xy 120.528164 84.901813) (xy 120.664173 84.693635) + (xy 120.764063 84.465909) (xy 120.825108 84.224849) (xy 120.8371 84.080133) (xy 120.845643 83.977028) + (xy 120.845643 83.977022) (xy 120.825109 83.729215) (xy 120.825107 83.729203) (xy 120.764063 83.488146) + (xy 120.664173 83.260421) (xy 120.528166 83.052245) (xy 120.490381 83.0112) (xy 120.359744 82.86929) + (xy 120.163509 82.716554) (xy 120.163507 82.716553) (xy 120.163506 82.716552) (xy 119.944811 82.5982) + (xy 119.944802 82.598197) (xy 119.709616 82.517457) (xy 119.464335 82.476528) (xy 119.215665 82.476528) + (xy 118.970383 82.517457) (xy 118.735197 82.598197) (xy 118.735188 82.5982) (xy 118.516493 82.716552) + (xy 118.320257 82.869289) (xy 118.151833 83.052245) (xy 118.015826 83.260421) (xy 117.915936 83.488146) + (xy 117.854892 83.729203) (xy 117.85489 83.729215) (xy 117.834357 83.977022) (xy 117.834357 83.977028) + (xy 97.9255 83.977028) (xy 97.9255 81.048337) (xy 109.1245 81.048337) (xy 109.124501 81.048355) + (xy 109.13465 81.147707) (xy 109.134651 81.14771) (xy 109.187996 81.308694) (xy 109.188001 81.308705) + (xy 109.277029 81.45304) (xy 109.277032 81.453044) (xy 109.396955 81.572967) (xy 109.396959 81.57297) + (xy 109.541294 81.661998) (xy 109.541297 81.661999) (xy 109.541303 81.662003) (xy 109.702292 81.715349) + (xy 109.801655 81.7255) (xy 110.648344 81.725499) (xy 110.648352 81.725498) (xy 110.648355 81.725498) + (xy 110.70276 81.71994) (xy 110.747708 81.715349) (xy 110.908697 81.662003) (xy 111.053044 81.572968) + (xy 111.172968 81.453044) (xy 111.262003 81.308697) (xy 111.315349 81.147708) (xy 111.3255 81.048345) + (xy 111.325499 80.501656) (xy 111.324441 80.491303) (xy 111.315349 80.402292) (xy 111.315348 80.402289) + (xy 111.306812 80.376528) (xy 111.262003 80.241303) (xy 111.261999 80.241297) (xy 111.261998 80.241294) + (xy 111.17297 80.096959) (xy 111.172967 80.096955) (xy 111.053044 79.977032) (xy 111.05304 79.977029) + (xy 110.908705 79.888001) (xy 110.908699 79.887998) (xy 110.908697 79.887997) (xy 110.908694 79.887996) + (xy 110.747709 79.834651) (xy 110.648346 79.8245) (xy 109.801662 79.8245) (xy 109.801644 79.824501) + (xy 109.702292 79.83465) (xy 109.702289 79.834651) (xy 109.541305 79.887996) (xy 109.541294 79.888001) + (xy 109.396959 79.977029) (xy 109.396955 79.977032) (xy 109.277032 80.096955) (xy 109.277029 80.096959) + (xy 109.188001 80.241294) (xy 109.187996 80.241305) (xy 109.134651 80.40229) (xy 109.1245 80.501647) + (xy 109.1245 81.048337) (xy 97.9255 81.048337) (xy 97.9255 77.041878) (xy 99.1145 77.041878) (xy 99.135535 77.201644) + (xy 99.147874 77.295368) (xy 99.21405 77.54234) (xy 99.214053 77.54235) (xy 99.311894 77.778559) + (xy 99.311899 77.77857) (xy 99.439734 77.999985) (xy 99.439745 78.000001) (xy 99.595388 78.202839) + (xy 99.595394 78.202846) (xy 99.776181 78.383633) (xy 99.776188 78.383639) (xy 99.850065 78.440327) + (xy 99.979035 78.539289) (xy 99.979042 78.539293) (xy 100.200457 78.667128) (xy 100.200462 78.66713) + (xy 100.200465 78.667132) (xy 100.436687 78.764978) (xy 100.68366 78.831154) (xy 100.937157 78.864528) + (xy 100.937164 78.864528) (xy 101.192836 78.864528) (xy 101.192843 78.864528) (xy 101.44634 78.831154) + (xy 101.693313 78.764978) (xy 101.929535 78.667132) (xy 102.150965 78.539289) (xy 102.353813 78.383638) + (xy 102.53461 78.202841) (xy 102.690261 77.999993) (xy 102.818104 77.778563) (xy 102.91595 77.542341) + (xy 102.982126 77.295368) (xy 103.0155 77.041871) (xy 103.0155 77.000639) (xy 105.4645 77.000639) + (xy 105.491598 77.171729) (xy 105.545127 77.336473) (xy 105.623768 77.490816) (xy 105.725586 77.630956) + (xy 105.848072 77.753442) (xy 105.988212 77.85526) (xy 106.142555 77.933901) (xy 106.307299 77.98743) + (xy 106.478389 78.014528) (xy 106.47839 78.014528) (xy 106.65161 78.014528) (xy 106.651611 78.014528) + (xy 106.822701 77.98743) (xy 106.987445 77.933901) (xy 107.141788 77.85526) (xy 107.281928 77.753442) + (xy 107.287033 77.748337) (xy 109.1245 77.748337) (xy 109.124501 77.748355) (xy 109.13465 77.847707) + (xy 109.134651 77.84771) (xy 109.187996 78.008694) (xy 109.188001 78.008705) (xy 109.277029 78.15304) + (xy 109.277032 78.153044) (xy 109.396955 78.272967) (xy 109.396959 78.27297) (xy 109.541294 78.361998) + (xy 109.541297 78.361999) (xy 109.541303 78.362003) (xy 109.702292 78.415349) (xy 109.801655 78.4255) + (xy 110.648344 78.425499) (xy 110.648352 78.425498) (xy 110.648355 78.425498) (xy 110.70276 78.41994) + (xy 110.747708 78.415349) (xy 110.908697 78.362003) (xy 111.053044 78.272968) (xy 111.172968 78.153044) + (xy 111.262003 78.008697) (xy 111.315349 77.847708) (xy 111.3255 77.748345) (xy 111.325499 77.201656) + (xy 111.324441 77.191303) (xy 111.315349 77.102292) (xy 111.315348 77.102289) (xy 111.303806 77.067457) + (xy 111.262003 76.941303) (xy 111.261999 76.941297) (xy 111.261998 76.941294) (xy 111.17297 76.796959) + (xy 111.172967 76.796955) (xy 111.053044 76.677032) (xy 111.05304 76.677029) (xy 110.908705 76.588001) + (xy 110.908699 76.587998) (xy 110.908697 76.587997) (xy 110.860285 76.571955) (xy 110.747709 76.534651) + (xy 110.648346 76.5245) (xy 109.801662 76.5245) (xy 109.801644 76.524501) (xy 109.702292 76.53465) + (xy 109.702289 76.534651) (xy 109.541305 76.587996) (xy 109.541294 76.588001) (xy 109.396959 76.677029) + (xy 109.396955 76.677032) (xy 109.277032 76.796955) (xy 109.277029 76.796959) (xy 109.188001 76.941294) + (xy 109.187996 76.941305) (xy 109.134651 77.10229) (xy 109.1245 77.201647) (xy 109.1245 77.748337) + (xy 107.287033 77.748337) (xy 107.404414 77.630956) (xy 107.506232 77.490816) (xy 107.584873 77.336473) + (xy 107.638402 77.171729) (xy 107.6655 77.000639) (xy 107.6655 76.827417) (xy 107.638402 76.656327) + (xy 107.584873 76.491583) (xy 107.506232 76.33724) (xy 107.404414 76.1971) (xy 107.281928 76.074614) + (xy 107.141788 75.972796) (xy 106.987445 75.894155) (xy 106.822701 75.840626) (xy 106.822699 75.840625) + (xy 106.822698 75.840625) (xy 106.691271 75.819809) (xy 106.651611 75.813528) (xy 106.478389 75.813528) + (xy 106.438728 75.819809) (xy 106.307302 75.840625) (xy 106.142552 75.894156) (xy 105.988211 75.972796) + (xy 105.908256 76.030887) (xy 105.848072 76.074614) (xy 105.84807 76.074616) (xy 105.848069 76.074616) + (xy 105.725588 76.197097) (xy 105.725588 76.197098) (xy 105.725586 76.1971) (xy 105.687515 76.2495) + (xy 105.623768 76.337239) (xy 105.545128 76.49158) (xy 105.491597 76.65633) (xy 105.469324 76.796959) + (xy 105.4645 76.827417) (xy 105.4645 77.000639) (xy 103.0155 77.000639) (xy 103.0155 76.786185) + (xy 102.982126 76.532688) (xy 102.91595 76.285715) (xy 102.91228 76.276856) (xy 102.828509 76.074614) + (xy 102.818104 76.049493) (xy 102.818102 76.04949) (xy 102.8181 76.049485) (xy 102.690265 75.82807) + (xy 102.690261 75.828063) (xy 102.53461 75.625215) (xy 102.534605 75.625209) (xy 102.353818 75.444422) + (xy 102.353811 75.444416) (xy 102.150973 75.288773) (xy 102.150971 75.288771) (xy 102.150965 75.288767) + (xy 102.15096 75.288764) (xy 102.150957 75.288762) (xy 101.929542 75.160927) (xy 101.929531 75.160922) + (xy 101.693322 75.063081) (xy 101.693315 75.063079) (xy 101.693313 75.063078) (xy 101.44634 74.996902) + (xy 101.390007 74.989485) (xy 101.19285 74.963528) (xy 101.192843 74.963528) (xy 100.937157 74.963528) + (xy 100.937149 74.963528) (xy 100.711826 74.993193) (xy 100.68366 74.996902) (xy 100.492224 75.048197) + (xy 100.436687 75.063078) (xy 100.436677 75.063081) (xy 100.200468 75.160922) (xy 100.200457 75.160927) + (xy 99.979042 75.288762) (xy 99.979026 75.288773) (xy 99.776188 75.444416) (xy 99.776181 75.444422) + (xy 99.595394 75.625209) (xy 99.595388 75.625216) (xy 99.439745 75.828054) (xy 99.439734 75.82807) + (xy 99.311899 76.049485) (xy 99.311894 76.049496) (xy 99.214053 76.285705) (xy 99.21405 76.285715) + (xy 99.169487 76.452029) (xy 99.147874 76.532689) (xy 99.1145 76.786177) (xy 99.1145 77.041878) + (xy 97.9255 77.041878) (xy 97.9255 74.294971) (xy 97.915486 74.257599) (xy 97.884577 74.142243) + (xy 97.840269 74.0655) (xy 97.80552 74.005312) (xy 97.693716 73.893508) (xy 97.693715 73.893507) + (xy 97.689385 73.889177) (xy 97.689374 73.889167) (xy 97.521516 73.721309) (xy 97.488031 73.659986) + (xy 97.488992 73.603187) (xy 97.489061 73.602912) (xy 97.489063 73.602909) (xy 97.550108 73.361849) + (xy 97.556318 73.286909) (xy 97.570643 73.114033) (xy 104.559357 73.114033) (xy 104.57989 73.36184) + (xy 104.579892 73.361852) (xy 104.640936 73.602909) (xy 104.740826 73.830634) (xy 104.876833 74.03881) + (xy 104.876836 74.038813) (xy 105.045256 74.221766) (xy 105.241491 74.374502) (xy 105.46019 74.492856) + (xy 105.695386 74.573599) (xy 105.940665 74.614528) (xy 106.189335 74.614528) (xy 106.434614 74.573599) + (xy 106.66981 74.492856) (xy 106.888509 74.374502) (xy 107.084744 74.221766) (xy 107.253164 74.038813) + (xy 107.389173 73.830635) (xy 107.489063 73.602909) (xy 107.550108 73.361849) (xy 107.556318 73.286909) + (xy 107.570643 73.114033) (xy 107.570643 73.114022) (xy 107.550109 72.866215) (xy 107.550107 72.866203) + (xy 107.489063 72.625146) (xy 107.389173 72.397421) (xy 107.253166 72.189245) (xy 107.191047 72.121766) + (xy 107.084744 72.00629) (xy 106.888509 71.853554) (xy 106.888507 71.853553) (xy 106.888506 71.853552) + (xy 106.669811 71.7352) (xy 106.669802 71.735197) (xy 106.496317 71.675639) (xy 112.7395 71.675639) + (xy 112.766598 71.846729) (xy 112.820127 72.011473) (xy 112.898768 72.165816) (xy 113.000586 72.305956) + (xy 113.123072 72.428442) (xy 113.263212 72.53026) (xy 113.417555 72.608901) (xy 113.582299 72.66243) + (xy 113.753389 72.689528) (xy 113.75339 72.689528) (xy 113.92661 72.689528) (xy 113.926611 72.689528) + (xy 114.097701 72.66243) (xy 114.262445 72.608901) (xy 114.416788 72.53026) (xy 114.556928 72.428442) + (xy 114.679414 72.305956) (xy 114.781232 72.165816) (xy 114.859873 72.011473) (xy 114.913402 71.846729) + (xy 114.933968 71.716878) (xy 117.3895 71.716878) (xy 117.407495 71.853554) (xy 117.422874 71.970368) + (xy 117.463441 72.121766) (xy 117.48905 72.21734) (xy 117.489053 72.21735) (xy 117.586894 72.453559) + (xy 117.586899 72.45357) (xy 117.714734 72.674985) (xy 117.714745 72.675001) (xy 117.870388 72.877839) + (xy 117.870394 72.877846) (xy 118.051181 73.058633) (xy 118.051188 73.058639) (xy 118.123379 73.114033) + (xy 118.254035 73.214289) (xy 118.254042 73.214293) (xy 118.475457 73.342128) (xy 118.475462 73.34213) + (xy 118.475465 73.342132) (xy 118.533824 73.366305) (xy 118.654508 73.416294) (xy 118.711687 73.439978) + (xy 118.95866 73.506154) (xy 119.212157 73.539528) (xy 119.212164 73.539528) (xy 119.467836 73.539528) + (xy 119.467843 73.539528) (xy 119.72134 73.506154) (xy 119.968313 73.439978) (xy 120.204535 73.342132) + (xy 120.425965 73.214289) (xy 120.628813 73.058638) (xy 120.80961 72.877841) (xy 120.965261 72.674993) + (xy 121.093104 72.453563) (xy 121.093108 72.453554) (xy 121.160939 72.289795) (xy 121.20478 72.235391) + (xy 121.271074 72.213326) (xy 121.338773 72.230605) (xy 121.386384 72.281742) (xy 121.3995 72.337247) + (xy 121.3995 83.650358) (xy 121.399499 83.650376) (xy 121.399499 83.816082) (xy 121.399498 83.816082) + (xy 121.440423 83.968813) (xy 121.445166 83.977028) (xy 121.519477 84.10574) (xy 121.519481 84.105745) + (xy 121.638349 84.224613) (xy 121.638355 84.224618) (xy 122.883482 85.469745) (xy 122.916967 85.531068) + (xy 122.916007 85.587866) (xy 122.854892 85.829202) (xy 122.85489 85.829215) (xy 122.834357 86.077022) + (xy 122.834357 86.077033) (xy 122.85489 86.32484) (xy 122.854892 86.324852) (xy 122.915936 86.565909) + (xy 123.015826 86.793634) (xy 123.151833 87.00181) (xy 123.151836 87.001813) (xy 123.320256 87.184766) + (xy 123.516491 87.337502) (xy 123.516493 87.337503) (xy 123.681216 87.426647) (xy 123.73519 87.455856) + (xy 123.970386 87.536599) (xy 124.215665 87.577528) (xy 124.464335 87.577528) (xy 124.709614 87.536599) + (xy 124.94481 87.455856) (xy 125.163509 87.337502) (xy 125.359744 87.184766) (xy 125.528164 87.001813) + (xy 125.664173 86.793635) (xy 125.764063 86.565909) (xy 125.825108 86.324849) (xy 125.828809 86.280187) + (xy 125.845643 86.077033) (xy 125.845643 86.077022) (xy 125.825109 85.829215) (xy 125.825107 85.829203) + (xy 125.764063 85.588146) (xy 125.664173 85.360421) (xy 125.528166 85.152245) (xy 125.490381 85.1112) + (xy 125.359744 84.96929) (xy 125.163509 84.816554) (xy 125.163507 84.816553) (xy 125.163506 84.816552) + (xy 124.944811 84.6982) (xy 124.944802 84.698197) (xy 124.709616 84.617457) (xy 124.464335 84.576528) + (xy 124.215665 84.576528) (xy 123.970382 84.617457) (xy 123.97038 84.617457) (xy 123.864393 84.653843) + (xy 123.794595 84.656993) (xy 123.73645 84.624243) (xy 123.19234 84.080133) (xy 122.636819 83.524611) + (xy 122.603334 83.463288) (xy 122.6005 83.43693) (xy 122.6005 82.413639) (xy 130.9645 82.413639) + (xy 130.991598 82.584729) (xy 131.045127 82.749473) (xy 131.123768 82.903816) (xy 131.225586 83.043956) + (xy 131.348072 83.166442) (xy 131.488212 83.26826) (xy 131.642555 83.346901) (xy 131.807299 83.40043) + (xy 131.978389 83.427528) (xy 131.97839 83.427528) (xy 132.15161 83.427528) (xy 132.151611 83.427528) + (xy 132.322701 83.40043) (xy 132.487445 83.346901) (xy 132.641788 83.26826) (xy 132.781928 83.166442) + (xy 132.904414 83.043956) (xy 133.006232 82.903816) (xy 133.084873 82.749473) (xy 133.138402 82.584729) + (xy 133.1655 82.413639) (xy 133.1655 82.240417) (xy 133.138402 82.069327) (xy 133.084873 81.904583) + (xy 133.006232 81.75024) (xy 132.904414 81.6101) (xy 132.781928 81.487614) (xy 132.641788 81.385796) + (xy 132.490471 81.308697) (xy 132.487447 81.307156) (xy 132.487446 81.307155) (xy 132.487445 81.307155) + (xy 132.322701 81.253626) (xy 132.322699 81.253625) (xy 132.322698 81.253625) (xy 132.191271 81.232809) + (xy 132.151611 81.226528) (xy 131.978389 81.226528) (xy 131.938728 81.232809) (xy 131.807302 81.253625) + (xy 131.642552 81.307156) (xy 131.488211 81.385796) (xy 131.408256 81.443887) (xy 131.348072 81.487614) + (xy 131.34807 81.487616) (xy 131.348069 81.487616) (xy 131.225588 81.610097) (xy 131.225588 81.610098) + (xy 131.225586 81.6101) (xy 131.18788 81.661998) (xy 131.123768 81.750239) (xy 131.045128 81.90458) + (xy 130.991597 82.06933) (xy 130.9645 82.240417) (xy 130.9645 82.413639) (xy 122.6005 82.413639) + (xy 122.6005 77.523337) (xy 127.3995 77.523337) (xy 127.399501 77.523355) (xy 127.40965 77.622707) + (xy 127.409651 77.62271) (xy 127.462996 77.783694) (xy 127.463001 77.783705) (xy 127.552029 77.92804) + (xy 127.552032 77.928044) (xy 127.671955 78.047967) (xy 127.671959 78.04797) (xy 127.816294 78.136998) + (xy 127.816297 78.136999) (xy 127.816303 78.137003) (xy 127.977292 78.190349) (xy 128.076655 78.2005) + (xy 128.923344 78.200499) (xy 128.923352 78.200498) (xy 128.923355 78.200498) (xy 128.97776 78.19494) + (xy 129.022708 78.190349) (xy 129.183697 78.137003) (xy 129.328044 78.047968) (xy 129.447968 77.928044) + (xy 129.537003 77.783697) (xy 129.590349 77.622708) (xy 129.6005 77.523345) (xy 129.600499 76.976656) + (xy 129.597257 76.944922) (xy 129.590349 76.877292) (xy 129.590348 76.877289) (xy 129.57378 76.827289) + (xy 129.537003 76.716303) (xy 129.536999 76.716297) (xy 129.536998 76.716294) (xy 129.44797 76.571959) + (xy 129.447967 76.571955) (xy 129.328044 76.452032) (xy 129.32804 76.452029) (xy 129.287516 76.427033) + (xy 136.059357 76.427033) (xy 136.07989 76.67484) (xy 136.079892 76.674852) (xy 136.140936 76.915909) + (xy 136.240826 77.143634) (xy 136.376833 77.35181) (xy 136.376836 77.351813) (xy 136.545256 77.534766) + (xy 136.741491 77.687502) (xy 136.741493 77.687503) (xy 136.919258 77.783705) (xy 136.96019 77.805856) + (xy 137.195386 77.886599) (xy 137.440665 77.927528) (xy 137.689335 77.927528) (xy 137.934614 77.886599) + (xy 138.16981 77.805856) (xy 138.388509 77.687502) (xy 138.584744 77.534766) (xy 138.753164 77.351813) + (xy 138.889173 77.143635) (xy 138.989063 76.915909) (xy 139.050108 76.674849) (xy 139.054796 76.618277) + (xy 139.070643 76.427033) (xy 139.070643 76.427022) (xy 139.050109 76.179215) (xy 139.050107 76.179203) + (xy 138.989063 75.938146) (xy 138.889173 75.710421) (xy 138.753166 75.502245) (xy 138.699932 75.444418) + (xy 138.584744 75.31929) (xy 138.388509 75.166554) (xy 138.388507 75.166553) (xy 138.388506 75.166552) + (xy 138.169811 75.0482) (xy 138.169802 75.048197) (xy 137.934616 74.967457) (xy 137.689335 74.926528) + (xy 137.440665 74.926528) (xy 137.195383 74.967457) (xy 136.960197 75.048197) (xy 136.960188 75.0482) + (xy 136.741493 75.166552) (xy 136.545257 75.319289) (xy 136.376833 75.502245) (xy 136.240826 75.710421) + (xy 136.140936 75.938146) (xy 136.079892 76.179203) (xy 136.07989 76.179215) (xy 136.059357 76.427022) + (xy 136.059357 76.427033) (xy 129.287516 76.427033) (xy 129.183705 76.363001) (xy 129.183699 76.362998) + (xy 129.183697 76.362997) (xy 129.105964 76.337239) (xy 129.022709 76.309651) (xy 128.923346 76.2995) + (xy 128.076662 76.2995) (xy 128.076644 76.299501) (xy 127.977292 76.30965) (xy 127.977289 76.309651) + (xy 127.816305 76.362996) (xy 127.816294 76.363001) (xy 127.671959 76.452029) (xy 127.671955 76.452032) + (xy 127.552032 76.571955) (xy 127.552029 76.571959) (xy 127.463001 76.716294) (xy 127.462996 76.716305) + (xy 127.409651 76.87729) (xy 127.3995 76.976647) (xy 127.3995 77.523337) (xy 122.6005 77.523337) + (xy 122.6005 74.223337) (xy 127.3995 74.223337) (xy 127.399501 74.223355) (xy 127.40965 74.322707) + (xy 127.409651 74.32271) (xy 127.462996 74.483694) (xy 127.463001 74.483705) (xy 127.552029 74.62804) + (xy 127.552032 74.628044) (xy 127.671955 74.747967) (xy 127.671959 74.74797) (xy 127.816294 74.836998) + (xy 127.816297 74.836999) (xy 127.816303 74.837003) (xy 127.977292 74.890349) (xy 128.076655 74.9005) + (xy 128.923344 74.900499) (xy 128.923352 74.900498) (xy 128.923355 74.900498) (xy 128.97776 74.89494) + (xy 129.022708 74.890349) (xy 129.183697 74.837003) (xy 129.328044 74.747968) (xy 129.447968 74.628044) + (xy 129.537003 74.483697) (xy 129.590349 74.322708) (xy 129.6005 74.223345) (xy 129.6005 74.173337) + (xy 163.5745 74.173337) (xy 163.574501 74.173355) (xy 163.58465 74.272707) (xy 163.584651 74.27271) + (xy 163.637996 74.433694) (xy 163.638001 74.433705) (xy 163.727029 74.57804) (xy 163.727032 74.578044) + (xy 163.846955 74.697967) (xy 163.846959 74.69797) (xy 163.991294 74.786998) (xy 163.991297 74.786999) + (xy 163.991303 74.787003) (xy 164.152292 74.840349) (xy 164.251655 74.8505) (xy 165.098344 74.850499) + (xy 165.098352 74.850498) (xy 165.098355 74.850498) (xy 165.15276 74.84494) (xy 165.197708 74.840349) + (xy 165.358697 74.787003) (xy 165.503044 74.697968) (xy 165.622968 74.578044) (xy 165.712003 74.433697) + (xy 165.765349 74.272708) (xy 165.7755 74.173345) (xy 165.775499 73.626656) (xy 165.774091 73.612877) + (xy 165.765349 73.527292) (xy 165.765348 73.527289) (xy 165.735912 73.438457) (xy 165.712003 73.366303) + (xy 165.711999 73.366297) (xy 165.711998 73.366294) (xy 165.62297 73.221959) (xy 165.622967 73.221955) + (xy 165.503044 73.102032) (xy 165.50304 73.102029) (xy 165.358705 73.013001) (xy 165.358699 73.012998) + (xy 165.358697 73.012997) (xy 165.348596 73.00965) (xy 165.197709 72.959651) (xy 165.098346 72.9495) + (xy 164.251662 72.9495) (xy 164.251644 72.949501) (xy 164.152292 72.95965) (xy 164.152289 72.959651) + (xy 163.991305 73.012996) (xy 163.991294 73.013001) (xy 163.846959 73.102029) (xy 163.846955 73.102032) + (xy 163.727032 73.221955) (xy 163.727029 73.221959) (xy 163.638001 73.366294) (xy 163.637996 73.366305) + (xy 163.584651 73.52729) (xy 163.5745 73.626647) (xy 163.5745 74.173337) (xy 129.6005 74.173337) + (xy 129.600499 73.676656) (xy 129.595391 73.626655) (xy 129.590349 73.577292) (xy 129.590348 73.577289) + (xy 129.571098 73.519197) (xy 129.537003 73.416303) (xy 129.536999 73.416297) (xy 129.536998 73.416294) + (xy 129.44797 73.271959) (xy 129.447967 73.271955) (xy 129.328044 73.152032) (xy 129.32804 73.152029) + (xy 129.183705 73.063001) (xy 129.183699 73.062998) (xy 129.183697 73.062997) (xy 129.170527 73.058633) + (xy 129.022709 73.009651) (xy 128.923346 72.9995) (xy 128.076662 72.9995) (xy 128.076644 72.999501) + (xy 127.977292 73.00965) (xy 127.977289 73.009651) (xy 127.816305 73.062996) (xy 127.816294 73.063001) + (xy 127.671959 73.152029) (xy 127.671955 73.152032) (xy 127.552032 73.271955) (xy 127.552029 73.271959) + (xy 127.463001 73.416294) (xy 127.462996 73.416305) (xy 127.409651 73.57729) (xy 127.3995 73.676647) + (xy 127.3995 74.223337) (xy 122.6005 74.223337) (xy 122.6005 71.675639) (xy 123.7395 71.675639) + (xy 123.766598 71.846729) (xy 123.820127 72.011473) (xy 123.898768 72.165816) (xy 124.000586 72.305956) + (xy 124.123072 72.428442) (xy 124.263212 72.53026) (xy 124.417555 72.608901) (xy 124.582299 72.66243) + (xy 124.753389 72.689528) (xy 124.75339 72.689528) (xy 124.92661 72.689528) (xy 124.926611 72.689528) + (xy 125.097701 72.66243) (xy 125.262445 72.608901) (xy 125.416788 72.53026) (xy 125.556928 72.428442) + (xy 125.679414 72.305956) (xy 125.781232 72.165816) (xy 125.859873 72.011473) (xy 125.913402 71.846729) + (xy 125.914525 71.839638) (xy 149.1645 71.839638) (xy 149.190894 72.006289) (xy 149.191598 72.010729) + (xy 149.245127 72.175473) (xy 149.323768 72.329816) (xy 149.425586 72.469956) (xy 149.548072 72.592442) + (xy 149.688212 72.69426) (xy 149.842555 72.772901) (xy 150.007299 72.82643) (xy 150.178389 72.853528) + (xy 150.17839 72.853528) (xy 150.35161 72.853528) (xy 150.351611 72.853528) (xy 150.522701 72.82643) + (xy 150.687445 72.772901) (xy 150.841788 72.69426) (xy 150.981928 72.592442) (xy 151.104414 72.469956) + (xy 151.206232 72.329816) (xy 151.284873 72.175473) (xy 151.338402 72.010729) (xy 151.358968 71.880878) + (xy 153.8145 71.880878) (xy 153.840457 72.078035) (xy 153.847874 72.134368) (xy 153.894706 72.309147) + (xy 153.91405 72.38134) (xy 153.914053 72.38135) (xy 154.011894 72.617559) (xy 154.011899 72.61757) + (xy 154.139734 72.838985) (xy 154.139745 72.839001) (xy 154.295388 73.041839) (xy 154.295394 73.041846) + (xy 154.476181 73.222633) (xy 154.476187 73.222638) (xy 154.679035 73.378289) (xy 154.679042 73.378293) + (xy 154.900457 73.506128) (xy 154.900462 73.50613) (xy 154.900465 73.506132) (xy 155.136687 73.603978) + (xy 155.38366 73.670154) (xy 155.637157 73.703528) (xy 155.637164 73.703528) (xy 155.892836 73.703528) + (xy 155.892843 73.703528) (xy 156.14634 73.670154) (xy 156.393313 73.603978) (xy 156.629535 73.506132) + (xy 156.850965 73.378289) (xy 157.053813 73.222638) (xy 157.23461 73.041841) (xy 157.390261 72.838993) + (xy 157.518104 72.617563) (xy 157.61595 72.381341) (xy 157.682126 72.134368) (xy 157.7155 71.880871) + (xy 157.7155 71.839638) (xy 160.1645 71.839638) (xy 160.190894 72.006289) (xy 160.191598 72.010729) + (xy 160.245127 72.175473) (xy 160.323768 72.329816) (xy 160.425586 72.469956) (xy 160.548072 72.592442) + (xy 160.688212 72.69426) (xy 160.842555 72.772901) (xy 161.007299 72.82643) (xy 161.178389 72.853528) + (xy 161.17839 72.853528) (xy 161.35161 72.853528) (xy 161.351611 72.853528) (xy 161.522701 72.82643) + (xy 161.687445 72.772901) (xy 161.841788 72.69426) (xy 161.981928 72.592442) (xy 162.104414 72.469956) + (xy 162.206232 72.329816) (xy 162.284873 72.175473) (xy 162.338402 72.010729) (xy 162.3655 71.839639) + (xy 162.3655 71.666417) (xy 162.338402 71.495327) (xy 162.284873 71.330583) (xy 162.206232 71.17624) + (xy 162.104414 71.0361) (xy 161.981928 70.913614) (xy 161.841788 70.811796) (xy 161.687445 70.733155) + (xy 161.522701 70.679626) (xy 161.522699 70.679625) (xy 161.522698 70.679625) (xy 161.391271 70.658809) + (xy 161.351611 70.652528) (xy 161.178389 70.652528) (xy 161.138728 70.658809) (xy 161.007302 70.679625) + (xy 161.007299 70.679626) (xy 160.869205 70.724496) (xy 160.842552 70.733156) (xy 160.688211 70.811796) + (xy 160.608256 70.869887) (xy 160.548072 70.913614) (xy 160.54807 70.913616) (xy 160.548069 70.913616) + (xy 160.425588 71.036097) (xy 160.425588 71.036098) (xy 160.425586 71.0361) (xy 160.3931 71.080813) + (xy 160.323768 71.176239) (xy 160.245128 71.33058) (xy 160.191597 71.49533) (xy 160.1645 71.666417) + (xy 160.1645 71.839638) (xy 157.7155 71.839638) (xy 157.7155 71.625185) (xy 157.682126 71.371688) + (xy 157.61595 71.124715) (xy 157.605977 71.100639) (xy 157.528509 70.913614) (xy 157.518104 70.888493) + (xy 157.518102 70.88849) (xy 157.5181 70.888485) (xy 157.390265 70.66707) (xy 157.390261 70.667063) + (xy 157.339026 70.600292) (xy 157.234611 70.464216) (xy 157.234605 70.464209) (xy 157.053818 70.283422) + (xy 157.053811 70.283416) (xy 156.850973 70.127773) (xy 156.850971 70.127771) (xy 156.850965 70.127767) + (xy 156.85096 70.127764) (xy 156.850957 70.127762) (xy 156.629542 69.999927) (xy 156.629531 69.999922) + (xy 156.393322 69.902081) (xy 156.393315 69.902079) (xy 156.393313 69.902078) (xy 156.14634 69.835902) + (xy 156.090007 69.828485) (xy 155.89285 69.802528) (xy 155.892843 69.802528) (xy 155.637157 69.802528) + (xy 155.637149 69.802528) (xy 155.411826 69.832193) (xy 155.38366 69.835902) (xy 155.235882 69.875499) + (xy 155.136687 69.902078) (xy 155.136677 69.902081) (xy 154.900468 69.999922) (xy 154.900457 69.999927) + (xy 154.679042 70.127762) (xy 154.679026 70.127773) (xy 154.476188 70.283416) (xy 154.476181 70.283422) + (xy 154.295394 70.464209) (xy 154.295388 70.464216) (xy 154.139745 70.667054) (xy 154.139734 70.66707) + (xy 154.011899 70.888485) (xy 154.011894 70.888496) (xy 153.914053 71.124705) (xy 153.91405 71.124715) + (xy 153.857273 71.336613) (xy 153.847874 71.371689) (xy 153.8145 71.625177) (xy 153.8145 71.880878) + (xy 151.358968 71.880878) (xy 151.3655 71.839639) (xy 151.3655 71.666417) (xy 151.338402 71.495327) + (xy 151.284873 71.330583) (xy 151.206232 71.17624) (xy 151.104414 71.0361) (xy 150.981928 70.913614) + (xy 150.841788 70.811796) (xy 150.687445 70.733155) (xy 150.522701 70.679626) (xy 150.522699 70.679625) + (xy 150.522698 70.679625) (xy 150.391271 70.658809) (xy 150.351611 70.652528) (xy 150.178389 70.652528) + (xy 150.138728 70.658809) (xy 150.007302 70.679625) (xy 150.007299 70.679626) (xy 149.869205 70.724496) + (xy 149.842552 70.733156) (xy 149.688211 70.811796) (xy 149.608256 70.869887) (xy 149.548072 70.913614) + (xy 149.54807 70.913616) (xy 149.548069 70.913616) (xy 149.425588 71.036097) (xy 149.425588 71.036098) + (xy 149.425586 71.0361) (xy 149.3931 71.080813) (xy 149.323768 71.176239) (xy 149.245128 71.33058) + (xy 149.191597 71.49533) (xy 149.1645 71.666417) (xy 149.1645 71.839638) (xy 125.914525 71.839638) + (xy 125.9405 71.675639) (xy 125.9405 71.502417) (xy 125.913402 71.331327) (xy 125.859873 71.166583) + (xy 125.781232 71.01224) (xy 125.679414 70.8721) (xy 125.556928 70.749614) (xy 125.416788 70.647796) + (xy 125.262445 70.569155) (xy 125.097701 70.515626) (xy 125.097699 70.515625) (xy 125.097698 70.515625) + (xy 124.966271 70.494809) (xy 124.926611 70.488528) (xy 124.753389 70.488528) (xy 124.713728 70.494809) + (xy 124.582302 70.515625) (xy 124.417552 70.569156) (xy 124.263211 70.647796) (xy 124.183256 70.705887) + (xy 124.123072 70.749614) (xy 124.12307 70.749616) (xy 124.123069 70.749616) (xy 124.000588 70.872097) + (xy 124.000588 70.872098) (xy 124.000586 70.8721) (xy 123.988682 70.888485) (xy 123.898768 71.012239) + (xy 123.820128 71.16658) (xy 123.766597 71.33133) (xy 123.740623 71.495327) (xy 123.7395 71.502417) + (xy 123.7395 71.675639) (xy 122.6005 71.675639) (xy 122.6005 70.429124) (xy 122.620185 70.362085) + (xy 122.636814 70.341447) (xy 122.878261 70.1) (xy 133.31954 70.1) (xy 133.339326 70.288256) (xy 133.339327 70.288259) + (xy 133.397818 70.468277) (xy 133.397821 70.468284) (xy 133.492467 70.632216) (xy 133.575547 70.724485) + (xy 133.619129 70.772888) (xy 133.772265 70.884148) (xy 133.77227 70.884151) (xy 133.945192 70.961142) + (xy 133.945197 70.961144) (xy 134.130354 71.0005) (xy 134.130355 71.0005) (xy 134.319644 71.0005) + (xy 134.319646 71.0005) (xy 134.504803 70.961144) (xy 134.67773 70.884151) (xy 134.830871 70.772888) + (xy 134.957533 70.632216) (xy 135.052179 70.468284) (xy 135.110674 70.288256) (xy 135.13046 70.1) + (xy 135.110674 69.911744) (xy 135.052179 69.731716) (xy 134.957533 69.567784) (xy 134.895481 69.498868) + (xy 134.85735 69.456519) (xy 134.82712 69.393527) (xy 134.8255 69.373547) (xy 134.8255 69.198337) + (xy 145.5495 69.198337) (xy 145.549501 69.198355) (xy 145.55965 69.297707) (xy 145.559651 69.29771) + (xy 145.612996 69.458694) (xy 145.613001 69.458705) (xy 145.702029 69.60304) (xy 145.702032 69.603044) + (xy 145.821955 69.722967) (xy 145.821959 69.72297) (xy 145.966294 69.811998) (xy 145.966297 69.811999) + (xy 145.966303 69.812003) (xy 146.127292 69.865349) (xy 146.226655 69.8755) (xy 147.073344 69.875499) + (xy 147.073352 69.875498) (xy 147.073355 69.875498) (xy 147.12776 69.86994) (xy 147.172708 69.865349) + (xy 147.333697 69.812003) (xy 147.478044 69.722968) (xy 147.597968 69.603044) (xy 147.687003 69.458697) + (xy 147.740349 69.297708) (xy 147.7505 69.198345) (xy 147.750499 68.651656) (xy 147.743983 68.587872) + (xy 147.740349 68.552292) (xy 147.740348 68.552289) (xy 147.687003 68.391303) (xy 147.686999 68.391297) + (xy 147.686998 68.391294) (xy 147.59797 68.246959) (xy 147.597967 68.246955) (xy 147.478044 68.127032) + (xy 147.47804 68.127029) (xy 147.333705 68.038001) (xy 147.333699 68.037998) (xy 147.333697 68.037997) + (xy 147.330205 68.03684) (xy 147.172709 67.984651) (xy 147.073346 67.9745) (xy 146.226662 67.9745) + (xy 146.226644 67.974501) (xy 146.127292 67.98465) (xy 146.127289 67.984651) (xy 145.966305 68.037996) + (xy 145.966294 68.038001) (xy 145.821959 68.127029) (xy 145.821955 68.127032) (xy 145.702032 68.246955) + (xy 145.702029 68.246959) (xy 145.613001 68.391294) (xy 145.612996 68.391305) (xy 145.559651 68.55229) + (xy 145.5495 68.651647) (xy 145.5495 69.198337) (xy 134.8255 69.198337) (xy 134.8255 68.301452) + (xy 134.845185 68.234413) (xy 134.85735 68.21848) (xy 134.957533 68.107216) (xy 135.04655 67.953033) + (xy 149.259357 67.953033) (xy 149.27989 68.20084) (xy 149.279892 68.200852) (xy 149.340936 68.441909) + (xy 149.440826 68.669634) (xy 149.576833 68.87781) (xy 149.594283 68.896766) (xy 149.745256 69.060766) + (xy 149.941491 69.213502) (xy 150.16019 69.331856) (xy 150.395386 69.412599) (xy 150.640665 69.453528) + (xy 150.889335 69.453528) (xy 151.134614 69.412599) (xy 151.36981 69.331856) (xy 151.588509 69.213502) + (xy 151.784744 69.060766) (xy 151.953164 68.877813) (xy 152.089173 68.669635) (xy 152.189063 68.441909) + (xy 152.250108 68.200849) (xy 152.263602 68.038001) (xy 152.270643 67.953033) (xy 159.259357 67.953033) + (xy 159.27989 68.20084) (xy 159.279892 68.200852) (xy 159.340936 68.441909) (xy 159.440826 68.669634) + (xy 159.576833 68.87781) (xy 159.594283 68.896766) (xy 159.745256 69.060766) (xy 159.941491 69.213502) + (xy 160.16019 69.331856) (xy 160.395386 69.412599) (xy 160.640665 69.453528) (xy 160.889335 69.453528) + (xy 161.134614 69.412599) (xy 161.36981 69.331856) (xy 161.588509 69.213502) (xy 161.784744 69.060766) + (xy 161.953164 68.877813) (xy 162.089173 68.669635) (xy 162.189063 68.441909) (xy 162.250108 68.200849) + (xy 162.263602 68.038001) (xy 162.270643 67.953033) (xy 162.270643 67.953022) (xy 162.250109 67.705215) + (xy 162.250107 67.705203) (xy 162.189063 67.464146) (xy 162.089173 67.236421) (xy 161.953166 67.028245) + (xy 161.891047 66.960766) (xy 161.784744 66.84529) (xy 161.588509 66.692554) (xy 161.588507 66.692553) + (xy 161.588506 66.692552) (xy 161.369811 66.5742) (xy 161.369802 66.574197) (xy 161.134616 66.493457) + (xy 160.889335 66.452528) (xy 160.640665 66.452528) (xy 160.395383 66.493457) (xy 160.160197 66.574197) + (xy 160.160188 66.5742) (xy 159.941493 66.692552) (xy 159.745257 66.845289) (xy 159.576833 67.028245) + (xy 159.440826 67.236421) (xy 159.340936 67.464146) (xy 159.279892 67.705203) (xy 159.27989 67.705215) + (xy 159.259357 67.953022) (xy 159.259357 67.953033) (xy 152.270643 67.953033) (xy 152.270643 67.953022) + (xy 152.250109 67.705215) (xy 152.250107 67.705203) (xy 152.189063 67.464146) (xy 152.089173 67.236421) + (xy 151.953166 67.028245) (xy 151.891047 66.960766) (xy 151.784744 66.84529) (xy 151.588509 66.692554) + (xy 151.588507 66.692553) (xy 151.588506 66.692552) (xy 151.369811 66.5742) (xy 151.369802 66.574197) + (xy 151.134616 66.493457) (xy 150.889335 66.452528) (xy 150.640665 66.452528) (xy 150.395383 66.493457) + (xy 150.160197 66.574197) (xy 150.160188 66.5742) (xy 149.941493 66.692552) (xy 149.745257 66.845289) + (xy 149.576833 67.028245) (xy 149.440826 67.236421) (xy 149.340936 67.464146) (xy 149.279892 67.705203) + (xy 149.27989 67.705215) (xy 149.259357 67.953022) (xy 149.259357 67.953033) (xy 135.04655 67.953033) + (xy 135.052179 67.943284) (xy 135.110674 67.763256) (xy 135.13046 67.575) (xy 135.110674 67.386744) + (xy 135.052179 67.206716) (xy 134.957533 67.042784) (xy 134.830871 66.902112) (xy 134.784037 66.868085) + (xy 134.677734 66.790851) (xy 134.677729 66.790848) (xy 134.504807 66.713857) (xy 134.504802 66.713855) + (xy 134.351586 66.681289) (xy 134.319646 66.6745) (xy 134.130354 66.6745) (xy 134.098414 66.681289) + (xy 133.945197 66.713855) (xy 133.945192 66.713857) (xy 133.77227 66.790848) (xy 133.772265 66.790851) + (xy 133.619129 66.902111) (xy 133.492466 67.042785) (xy 133.397821 67.206715) (xy 133.397818 67.206722) + (xy 133.342566 67.376772) (xy 133.339326 67.386744) (xy 133.31954 67.575) (xy 133.339326 67.763256) + (xy 133.339327 67.763259) (xy 133.397818 67.943277) (xy 133.397821 67.943284) (xy 133.492467 68.107216) + (xy 133.59265 68.21848) (xy 133.62288 68.281471) (xy 133.6245 68.301452) (xy 133.6245 69.373547) + (xy 133.604815 69.440586) (xy 133.59265 69.456519) (xy 133.492466 69.567785) (xy 133.397821 69.731715) + (xy 133.397818 69.731722) (xy 133.341098 69.906289) (xy 133.339326 69.911744) (xy 133.31954 70.1) + (xy 122.878261 70.1) (xy 123.736452 69.241809) (xy 123.797773 69.208326) (xy 123.864392 69.21221) + (xy 123.93635 69.236914) (xy 123.970385 69.248599) (xy 124.215665 69.289528) (xy 124.464335 69.289528) + (xy 124.709614 69.248599) (xy 124.94481 69.167856) (xy 125.163509 69.049502) (xy 125.359744 68.896766) + (xy 125.528164 68.713813) (xy 125.664173 68.505635) (xy 125.764063 68.277909) (xy 125.825108 68.036849) + (xy 125.825109 68.03684) (xy 125.845643 67.789033) (xy 125.845643 67.789022) (xy 125.825109 67.541215) + (xy 125.825107 67.541206) (xy 125.825107 67.541203) (xy 125.764063 67.300146) (xy 125.664173 67.072421) + (xy 125.528166 66.864245) (xy 125.506429 66.840632) (xy 125.359744 66.68129) (xy 125.163509 66.528554) + (xy 125.163507 66.528553) (xy 125.163506 66.528552) (xy 124.944811 66.4102) (xy 124.944802 66.410197) + (xy 124.709616 66.329457) (xy 124.464335 66.288528) (xy 124.215665 66.288528) (xy 123.970383 66.329457) + (xy 123.735197 66.410197) (xy 123.735188 66.4102) (xy 123.516493 66.528552) (xy 123.320257 66.681289) + (xy 123.151833 66.864245) (xy 123.015826 67.072421) (xy 122.915936 67.300146) (xy 122.854892 67.541203) + (xy 122.85489 67.541215) (xy 122.834357 67.789022) (xy 122.834357 67.789033) (xy 122.85489 68.03684) + (xy 122.854892 68.036852) (xy 122.916007 68.278188) (xy 122.913382 68.348008) (xy 122.883482 68.396309) + (xy 121.631286 69.648506) (xy 121.519481 69.76031) (xy 121.519477 69.760315) (xy 121.475826 69.835923) + (xy 121.475826 69.835924) (xy 121.440423 69.897242) (xy 121.437999 69.906289) (xy 121.399499 70.049971) + (xy 121.399499 70.049973) (xy 121.399499 70.218074) (xy 121.3995 70.218087) (xy 121.3995 70.840808) + (xy 121.379815 70.907847) (xy 121.327011 70.953602) (xy 121.257853 70.963546) (xy 121.194297 70.934521) + (xy 121.160939 70.888261) (xy 121.11315 70.772888) (xy 121.093104 70.724493) (xy 121.093102 70.72449) + (xy 121.0931 70.724485) (xy 120.965265 70.50307) (xy 120.965261 70.503063) (xy 120.855034 70.359413) + (xy 120.809611 70.300216) (xy 120.809605 70.300209) (xy 120.628818 70.119422) (xy 120.628811 70.119416) + (xy 120.425973 69.963773) (xy 120.425971 69.963771) (xy 120.425965 69.963767) (xy 120.42596 69.963764) + (xy 120.425957 69.963762) (xy 120.204542 69.835927) (xy 120.204531 69.835922) (xy 119.968322 69.738081) + (xy 119.968315 69.738079) (xy 119.968313 69.738078) (xy 119.72134 69.671902) (xy 119.665007 69.664485) + (xy 119.46785 69.638528) (xy 119.467843 69.638528) (xy 119.212157 69.638528) (xy 119.212149 69.638528) + (xy 118.986826 69.668193) (xy 118.95866 69.671902) (xy 118.768071 69.72297) (xy 118.711687 69.738078) + (xy 118.711677 69.738081) (xy 118.475468 69.835922) (xy 118.475457 69.835927) (xy 118.254042 69.963762) + (xy 118.254026 69.963773) (xy 118.051188 70.119416) (xy 118.051181 70.119422) (xy 117.870394 70.300209) + (xy 117.870388 70.300216) (xy 117.714745 70.503054) (xy 117.714734 70.50307) (xy 117.586899 70.724485) + (xy 117.586894 70.724496) (xy 117.489053 70.960705) (xy 117.48905 70.960715) (xy 117.431301 71.17624) + (xy 117.422874 71.207689) (xy 117.3895 71.461177) (xy 117.3895 71.716878) (xy 114.933968 71.716878) + (xy 114.9405 71.675639) (xy 114.9405 71.502417) (xy 114.913402 71.331327) (xy 114.859873 71.166583) + (xy 114.781232 71.01224) (xy 114.679414 70.8721) (xy 114.556928 70.749614) (xy 114.416788 70.647796) + (xy 114.262445 70.569155) (xy 114.097701 70.515626) (xy 114.097699 70.515625) (xy 114.097698 70.515625) + (xy 113.966271 70.494809) (xy 113.926611 70.488528) (xy 113.753389 70.488528) (xy 113.713728 70.494809) + (xy 113.582302 70.515625) (xy 113.417552 70.569156) (xy 113.263211 70.647796) (xy 113.183256 70.705887) + (xy 113.123072 70.749614) (xy 113.12307 70.749616) (xy 113.123069 70.749616) (xy 113.000588 70.872097) + (xy 113.000588 70.872098) (xy 113.000586 70.8721) (xy 112.988682 70.888485) (xy 112.898768 71.012239) + (xy 112.820128 71.16658) (xy 112.766597 71.33133) (xy 112.740623 71.495327) (xy 112.7395 71.502417) + (xy 112.7395 71.675639) (xy 106.496317 71.675639) (xy 106.434616 71.654457) (xy 106.189335 71.613528) + (xy 105.940665 71.613528) (xy 105.695383 71.654457) (xy 105.460197 71.735197) (xy 105.460188 71.7352) + (xy 105.241493 71.853552) (xy 105.045257 72.006289) (xy 104.876833 72.189245) (xy 104.740826 72.397421) + (xy 104.640936 72.625146) (xy 104.579892 72.866203) (xy 104.57989 72.866215) (xy 104.559357 73.114022) + (xy 104.559357 73.114033) (xy 97.570643 73.114033) (xy 97.570643 73.114022) (xy 97.550109 72.866215) + (xy 97.550107 72.866203) (xy 97.489063 72.625146) (xy 97.389173 72.397421) (xy 97.253166 72.189245) + (xy 97.191047 72.121766) (xy 97.084744 72.00629) (xy 96.888509 71.853554) (xy 96.888507 71.853553) + (xy 96.888506 71.853552) (xy 96.669811 71.7352) (xy 96.669802 71.735197) (xy 96.434616 71.654457) + (xy 96.189335 71.613528) (xy 95.940665 71.613528) (xy 95.695382 71.654457) (xy 95.69538 71.654457) + (xy 95.589393 71.690843) (xy 95.519595 71.693993) (xy 95.46145 71.661243) (xy 94.814241 71.014033) + (xy 99.559357 71.014033) (xy 99.57989 71.26184) (xy 99.579892 71.261852) (xy 99.640936 71.502909) + (xy 99.740826 71.730634) (xy 99.876833 71.93881) (xy 99.876836 71.938813) (xy 100.045256 72.121766) + (xy 100.241491 72.274502) (xy 100.46019 72.392856) (xy 100.695386 72.473599) (xy 100.940665 72.514528) + (xy 101.189335 72.514528) (xy 101.434614 72.473599) (xy 101.66981 72.392856) (xy 101.888509 72.274502) + (xy 102.084744 72.121766) (xy 102.253164 71.938813) (xy 102.389173 71.730635) (xy 102.489063 71.502909) + (xy 102.550108 71.261849) (xy 102.553763 71.217744) (xy 102.570643 71.014033) (xy 102.570643 71.014022) + (xy 102.550109 70.766215) (xy 102.550107 70.766203) (xy 102.489063 70.525146) (xy 102.389173 70.297421) + (xy 102.253166 70.089245) (xy 102.217013 70.049973) (xy 102.084744 69.90629) (xy 101.888509 69.753554) + (xy 101.888507 69.753553) (xy 101.888506 69.753552) (xy 101.669811 69.6352) (xy 101.669802 69.635197) + (xy 101.434616 69.554457) (xy 101.189335 69.513528) (xy 100.940665 69.513528) (xy 100.695383 69.554457) + (xy 100.460197 69.635197) (xy 100.460188 69.6352) (xy 100.241493 69.753552) (xy 100.045257 69.906289) + (xy 99.876833 70.089245) (xy 99.740826 70.297421) (xy 99.640936 70.525146) (xy 99.579892 70.766203) + (xy 99.57989 70.766215) (xy 99.559357 71.014022) (xy 99.559357 71.014033) (xy 94.814241 71.014033) + (xy 94.436819 70.636611) (xy 94.403334 70.575288) (xy 94.4005 70.54893) (xy 94.4005 63.498337) (xy 109.0245 63.498337) + (xy 109.024501 63.498355) (xy 109.03465 63.597707) (xy 109.034651 63.59771) (xy 109.087996 63.758694) + (xy 109.088001 63.758705) (xy 109.177029 63.90304) (xy 109.177032 63.903044) (xy 109.296955 64.022967) + (xy 109.296959 64.02297) (xy 109.441294 64.111998) (xy 109.441297 64.111999) (xy 109.441303 64.112003) + (xy 109.602292 64.165349) (xy 109.701655 64.1755) (xy 110.548344 64.175499) (xy 110.548352 64.175498) + (xy 110.548355 64.175498) (xy 110.60276 64.16994) (xy 110.647708 64.165349) (xy 110.808697 64.112003) + (xy 110.953044 64.022968) (xy 111.072968 63.903044) (xy 111.162003 63.758697) (xy 111.215349 63.597708) + (xy 111.2255 63.498345) (xy 111.225499 62.951656) (xy 111.22442 62.941097) (xy 111.215349 62.852292) + (xy 111.215348 62.852289) (xy 111.196224 62.794576) (xy 111.162003 62.691303) (xy 111.161999 62.691297) + (xy 111.161998 62.691294) (xy 111.07297 62.546959) (xy 111.072967 62.546955) (xy 110.953044 62.427032) + (xy 110.95304 62.427029) (xy 110.808705 62.338001) (xy 110.808699 62.337998) (xy 110.808697 62.337997) + (xy 110.69252 62.2995) (xy 110.647709 62.284651) (xy 110.548346 62.2745) (xy 109.701662 62.2745) + (xy 109.701644 62.274501) (xy 109.602292 62.28465) (xy 109.602289 62.284651) (xy 109.441305 62.337996) + (xy 109.441294 62.338001) (xy 109.296959 62.427029) (xy 109.296955 62.427032) (xy 109.177032 62.546955) + (xy 109.177029 62.546959) (xy 109.088001 62.691294) (xy 109.087996 62.691305) (xy 109.034651 62.85229) + (xy 109.0245 62.951647) (xy 109.0245 63.498337) (xy 94.4005 63.498337) (xy 94.4005 59.277147) (xy 94.420185 59.210108) + (xy 94.472989 59.164353) (xy 94.542147 59.154409) (xy 94.605703 59.183434) (xy 94.624817 59.20426) + (xy 94.725586 59.342956) (xy 94.848072 59.465442) (xy 94.988212 59.56726) (xy 95.142555 59.645901) + (xy 95.307299 59.69943) (xy 95.478389 59.726528) (xy 95.47839 59.726528) (xy 95.65161 59.726528) + (xy 95.651611 59.726528) (xy 95.822701 59.69943) (xy 95.987445 59.645901) (xy 96.141788 59.56726) + (xy 96.281928 59.465442) (xy 96.404414 59.342956) (xy 96.506232 59.202816) (xy 96.584873 59.048473) + (xy 96.638402 58.883729) (xy 96.658968 58.753878) (xy 99.1145 58.753878) (xy 99.136007 58.917229) + (xy 99.147874 59.007368) (xy 99.21405 59.25434) (xy 99.214053 59.25435) (xy 99.311894 59.490559) + (xy 99.311899 59.49057) (xy 99.439734 59.711985) (xy 99.439745 59.712001) (xy 99.595388 59.914839) + (xy 99.595394 59.914846) (xy 99.776181 60.095633) (xy 99.776188 60.095639) (xy 99.844872 60.148342) + (xy 99.979035 60.251289) (xy 99.979042 60.251293) (xy 100.200457 60.379128) (xy 100.200462 60.37913) + (xy 100.200465 60.379132) (xy 100.271854 60.408702) (xy 100.392552 60.458697) (xy 100.436687 60.476978) + (xy 100.68366 60.543154) (xy 100.937157 60.576528) (xy 100.937164 60.576528) (xy 101.192836 60.576528) + (xy 101.192843 60.576528) (xy 101.44634 60.543154) (xy 101.693313 60.476978) (xy 101.929535 60.379132) + (xy 102.150965 60.251289) (xy 102.219973 60.198337) (xy 109.0245 60.198337) (xy 109.024501 60.198355) + (xy 109.03465 60.297707) (xy 109.034651 60.29771) (xy 109.087996 60.458694) (xy 109.088001 60.458705) + (xy 109.177029 60.60304) (xy 109.177032 60.603044) (xy 109.296955 60.722967) (xy 109.296959 60.72297) + (xy 109.441294 60.811998) (xy 109.441297 60.811999) (xy 109.441303 60.812003) (xy 109.602292 60.865349) + (xy 109.701655 60.8755) (xy 110.548344 60.875499) (xy 110.548352 60.875498) (xy 110.548355 60.875498) + (xy 110.60276 60.86994) (xy 110.647708 60.865349) (xy 110.808697 60.812003) (xy 110.953044 60.722968) + (xy 111.072968 60.603044) (xy 111.162003 60.458697) (xy 111.215349 60.297708) (xy 111.2255 60.198345) + (xy 111.225499 59.651656) (xy 111.220391 59.601655) (xy 111.215349 59.552292) (xy 111.215348 59.552289) + (xy 111.19878 59.50229) (xy 111.162003 59.391303) (xy 111.161999 59.391297) (xy 111.161998 59.391294) + (xy 111.07297 59.246959) (xy 111.072967 59.246955) (xy 110.953044 59.127032) (xy 110.95304 59.127029) + (xy 110.808705 59.038001) (xy 110.808699 59.037998) (xy 110.808697 59.037997) (xy 110.808694 59.037996) + (xy 110.647709 58.984651) (xy 110.548346 58.9745) (xy 109.701662 58.9745) (xy 109.701644 58.974501) + (xy 109.602292 58.98465) (xy 109.602289 58.984651) (xy 109.441305 59.037996) (xy 109.441294 59.038001) + (xy 109.296959 59.127029) (xy 109.296955 59.127032) (xy 109.177032 59.246955) (xy 109.177029 59.246959) + (xy 109.088001 59.391294) (xy 109.087996 59.391305) (xy 109.034651 59.55229) (xy 109.0245 59.651647) + (xy 109.0245 60.198337) (xy 102.219973 60.198337) (xy 102.353813 60.095638) (xy 102.53461 59.914841) + (xy 102.690261 59.711993) (xy 102.818104 59.490563) (xy 102.91595 59.254341) (xy 102.982126 59.007368) + (xy 103.0155 58.753871) (xy 103.0155 58.712638) (xy 105.4645 58.712638) (xy 105.490051 58.873965) + (xy 105.491598 58.883729) (xy 105.545127 59.048473) (xy 105.623768 59.202816) (xy 105.725586 59.342956) + (xy 105.848072 59.465442) (xy 105.988212 59.56726) (xy 106.142555 59.645901) (xy 106.307299 59.69943) + (xy 106.478389 59.726528) (xy 106.47839 59.726528) (xy 106.65161 59.726528) (xy 106.651611 59.726528) + (xy 106.822701 59.69943) (xy 106.987445 59.645901) (xy 107.141788 59.56726) (xy 107.281928 59.465442) + (xy 107.404414 59.342956) (xy 107.506232 59.202816) (xy 107.584873 59.048473) (xy 107.638402 58.883729) + (xy 107.6655 58.712639) (xy 107.6655 58.539417) (xy 107.638402 58.368327) (xy 107.584873 58.203583) + (xy 107.506232 58.04924) (xy 107.404414 57.9091) (xy 107.281928 57.786614) (xy 107.141788 57.684796) + (xy 106.987445 57.606155) (xy 106.822701 57.552626) (xy 106.822699 57.552625) (xy 106.822698 57.552625) + (xy 106.686785 57.531099) (xy 106.651611 57.525528) (xy 106.478389 57.525528) (xy 106.443215 57.531099) + (xy 106.307302 57.552625) (xy 106.142552 57.606156) (xy 105.988211 57.684796) (xy 105.916717 57.73674) + (xy 105.848072 57.786614) (xy 105.84807 57.786616) (xy 105.848069 57.786616) (xy 105.725588 57.909097) + (xy 105.725588 57.909098) (xy 105.725586 57.9091) (xy 105.709778 57.930858) (xy 105.623768 58.049239) + (xy 105.545128 58.20358) (xy 105.545127 58.203582) (xy 105.545127 58.203583) (xy 105.531771 58.244688) + (xy 105.491597 58.36833) (xy 105.4645 58.539417) (xy 105.4645 58.712638) (xy 103.0155 58.712638) + (xy 103.0155 58.498185) (xy 102.982126 58.244688) (xy 102.91595 57.997715) (xy 102.818104 57.761493) + (xy 102.818102 57.76149) (xy 102.8181 57.761485) (xy 102.690265 57.54007) (xy 102.690261 57.540063) + (xy 102.641725 57.47681) (xy 102.534611 57.337216) (xy 102.534605 57.337209) (xy 102.353818 57.156422) + (xy 102.353811 57.156416) (xy 102.150973 57.000773) (xy 102.150971 57.000771) (xy 102.150965 57.000767) + (xy 102.15096 57.000764) (xy 102.150957 57.000762) (xy 101.929542 56.872927) (xy 101.929531 56.872922) + (xy 101.693322 56.775081) (xy 101.693315 56.775079) (xy 101.693313 56.775078) (xy 101.44634 56.708902) + (xy 101.390007 56.701485) (xy 101.19285 56.675528) (xy 101.192843 56.675528) (xy 100.937157 56.675528) + (xy 100.937149 56.675528) (xy 100.711826 56.705193) (xy 100.68366 56.708902) (xy 100.436687 56.775078) + (xy 100.436677 56.775081) (xy 100.200468 56.872922) (xy 100.200457 56.872927) (xy 99.979042 57.000762) + (xy 99.979026 57.000773) (xy 99.776188 57.156416) (xy 99.776181 57.156422) (xy 99.595394 57.337209) + (xy 99.595388 57.337216) (xy 99.439745 57.540054) (xy 99.439734 57.54007) (xy 99.311899 57.761485) + (xy 99.311894 57.761496) (xy 99.214053 57.997705) (xy 99.21405 57.997715) (xy 99.151068 58.23277) + (xy 99.147874 58.244689) (xy 99.1145 58.498177) (xy 99.1145 58.753878) (xy 96.658968 58.753878) + (xy 96.6655 58.712639) (xy 96.6655 58.539417) (xy 96.638402 58.368327) (xy 96.584873 58.203583) + (xy 96.506232 58.04924) (xy 96.404414 57.9091) (xy 96.281928 57.786614) (xy 96.141788 57.684796) + (xy 95.987445 57.606155) (xy 95.822701 57.552626) (xy 95.822699 57.552625) (xy 95.822698 57.552625) + (xy 95.686785 57.531099) (xy 95.651611 57.525528) (xy 95.478389 57.525528) (xy 95.443215 57.531099) + (xy 95.307302 57.552625) (xy 95.142552 57.606156) (xy 94.988211 57.684796) (xy 94.916717 57.73674) + (xy 94.848072 57.786614) (xy 94.84807 57.786616) (xy 94.848069 57.786616) (xy 94.725587 57.909098) + (xy 94.624818 58.047794) (xy 94.569487 58.090459) (xy 94.499874 58.096438) (xy 94.438079 58.063832) + (xy 94.403722 58.002993) (xy 94.4005 57.974908) (xy 94.4005 57.391124) (xy 94.420185 57.324085) + (xy 94.436814 57.303447) (xy 95.461452 56.278809) (xy 95.522773 56.245326) (xy 95.589392 56.24921) + (xy 95.66135 56.273914) (xy 95.695385 56.285599) (xy 95.940665 56.326528) (xy 96.189335 56.326528) + (xy 96.434614 56.285599) (xy 96.66981 56.204856) (xy 96.888509 56.086502) (xy 97.084744 55.933766) + (xy 97.253164 55.750813) (xy 97.389173 55.542635) (xy 97.489063 55.314909) (xy 97.550108 55.073849) + (xy 97.551958 55.051528) (xy 97.570643 54.826033) (xy 104.559357 54.826033) (xy 104.57989 55.07384) + (xy 104.579892 55.073852) (xy 104.640936 55.314909) (xy 104.740826 55.542634) (xy 104.876833 55.75081) + (xy 104.876836 55.750813) (xy 105.045256 55.933766) (xy 105.241491 56.086502) (xy 105.46019 56.204856) + (xy 105.695386 56.285599) (xy 105.940665 56.326528) (xy 106.189335 56.326528) (xy 106.434614 56.285599) + (xy 106.66981 56.204856) (xy 106.888509 56.086502) (xy 107.084744 55.933766) (xy 107.253164 55.750813) + (xy 107.389173 55.542635) (xy 107.489063 55.314909) (xy 107.550108 55.073849) (xy 107.551958 55.051528) + (xy 107.570643 54.826033) (xy 107.570643 54.826022) (xy 107.550109 54.578215) (xy 107.550107 54.578203) + (xy 107.489063 54.337146) (xy 107.389173 54.109421) (xy 107.253166 53.901245) (xy 107.212551 53.857126) + (xy 107.084744 53.71829) (xy 106.888509 53.565554) (xy 106.888507 53.565553) (xy 106.888506 53.565552) + (xy 106.669811 53.4472) (xy 106.669802 53.447197) (xy 106.496314 53.387638) (xy 112.7395 53.387638) + (xy 112.764811 53.54745) (xy 112.766598 53.558729) (xy 112.80677 53.682366) (xy 112.820128 53.723475) + (xy 112.888226 53.857126) (xy 112.898768 53.877816) (xy 113.000586 54.017956) (xy 113.123072 54.140442) + (xy 113.263212 54.24226) (xy 113.417555 54.320901) (xy 113.582299 54.37443) (xy 113.753389 54.401528) + (xy 113.75339 54.401528) (xy 113.92661 54.401528) (xy 113.926611 54.401528) (xy 114.097701 54.37443) + (xy 114.262445 54.320901) (xy 114.416788 54.24226) (xy 114.542616 54.15084) (xy 114.60842 54.127361) + (xy 114.676474 54.143186) (xy 114.725169 54.193292) (xy 114.7395 54.251159) (xy 114.7395 66.188037) + (xy 114.719815 66.255076) (xy 114.667011 66.300831) (xy 114.597853 66.310775) (xy 114.595091 66.310346) + (xy 114.464335 66.288528) (xy 114.215665 66.288528) (xy 113.970383 66.329457) (xy 113.735197 66.410197) + (xy 113.735188 66.4102) (xy 113.516493 66.528552) (xy 113.320257 66.681289) (xy 113.151833 66.864245) + (xy 113.015826 67.072421) (xy 112.915936 67.300146) (xy 112.854892 67.541203) (xy 112.85489 67.541215) + (xy 112.834357 67.789022) (xy 112.834357 67.789033) (xy 112.85489 68.03684) (xy 112.854892 68.036852) + (xy 112.915936 68.277909) (xy 113.015826 68.505634) (xy 113.151833 68.71381) (xy 113.155492 68.717785) + (xy 113.320256 68.896766) (xy 113.516491 69.049502) (xy 113.73519 69.167856) (xy 113.970386 69.248599) + (xy 114.215665 69.289528) (xy 114.464335 69.289528) (xy 114.709614 69.248599) (xy 114.94481 69.167856) + (xy 115.163509 69.049502) (xy 115.359744 68.896766) (xy 115.528164 68.713813) (xy 115.664173 68.505635) + (xy 115.764063 68.277909) (xy 115.825108 68.036849) (xy 115.825109 68.03684) (xy 115.845643 67.789033) + (xy 115.845643 67.789022) (xy 115.825109 67.541215) (xy 115.825108 67.541212) (xy 115.825108 67.541207) + (xy 115.764063 67.300147) (xy 115.764061 67.300143) (xy 115.763992 67.299869) (xy 115.766617 67.230048) + (xy 115.796516 67.181746) (xy 115.82052 67.157744) (xy 115.899577 67.020812) (xy 115.940501 66.868085) + (xy 115.940501 66.70997) (xy 115.940501 66.702375) (xy 115.9405 66.702357) (xy 115.9405 65.689033) + (xy 117.834357 65.689033) (xy 117.85489 65.93684) (xy 117.854892 65.936852) (xy 117.915936 66.177909) + (xy 118.015826 66.405634) (xy 118.151833 66.61381) (xy 118.151836 66.613813) (xy 118.320256 66.796766) + (xy 118.516491 66.949502) (xy 118.73519 67.067856) (xy 118.970386 67.148599) (xy 119.215665 67.189528) + (xy 119.464335 67.189528) (xy 119.709614 67.148599) (xy 119.94481 67.067856) (xy 120.163509 66.949502) + (xy 120.359744 66.796766) (xy 120.528164 66.613813) (xy 120.664173 66.405635) (xy 120.764063 66.177909) + (xy 120.825108 65.936849) (xy 120.828299 65.898337) (xy 145.5495 65.898337) (xy 145.549501 65.898355) + (xy 145.55965 65.997707) (xy 145.559651 65.99771) (xy 145.612996 66.158694) (xy 145.613001 66.158705) + (xy 145.702029 66.30304) (xy 145.702032 66.303044) (xy 145.821955 66.422967) (xy 145.821959 66.42297) + (xy 145.966294 66.511998) (xy 145.966297 66.511999) (xy 145.966303 66.512003) (xy 146.127292 66.565349) + (xy 146.226655 66.5755) (xy 147.073344 66.575499) (xy 147.073352 66.575498) (xy 147.073355 66.575498) + (xy 147.13076 66.569634) (xy 147.172708 66.565349) (xy 147.333697 66.512003) (xy 147.478044 66.422968) + (xy 147.597968 66.303044) (xy 147.687003 66.158697) (xy 147.740349 65.997708) (xy 147.7505 65.898345) + (xy 147.7505 65.853033) (xy 154.259357 65.853033) (xy 154.27989 66.10084) (xy 154.279892 66.100852) + (xy 154.340936 66.341909) (xy 154.440826 66.569634) (xy 154.576833 66.77781) (xy 154.588836 66.790849) + (xy 154.745256 66.960766) (xy 154.941491 67.113502) (xy 154.941493 67.113503) (xy 155.113733 67.206715) + (xy 155.16019 67.231856) (xy 155.395386 67.312599) (xy 155.640665 67.353528) (xy 155.889335 67.353528) + (xy 156.134614 67.312599) (xy 156.36981 67.231856) (xy 156.588509 67.113502) (xy 156.784744 66.960766) + (xy 156.953164 66.777813) (xy 157.089173 66.569635) (xy 157.189063 66.341909) (xy 157.250108 66.100849) + (xy 157.250109 66.10084) (xy 157.270643 65.853033) (xy 157.270643 65.853022) (xy 157.250109 65.605215) + (xy 157.250107 65.605203) (xy 157.189063 65.364146) (xy 157.089173 65.136421) (xy 156.953166 64.928245) + (xy 156.931557 64.904772) (xy 156.784744 64.74529) (xy 156.588509 64.592554) (xy 156.588507 64.592553) + (xy 156.588506 64.592552) (xy 156.369811 64.4742) (xy 156.369802 64.474197) (xy 156.134616 64.393457) + (xy 155.889335 64.352528) (xy 155.640665 64.352528) (xy 155.395383 64.393457) (xy 155.160197 64.474197) + (xy 155.160188 64.4742) (xy 154.941493 64.592552) (xy 154.745257 64.745289) (xy 154.576833 64.928245) + (xy 154.440826 65.136421) (xy 154.340936 65.364146) (xy 154.279892 65.605203) (xy 154.27989 65.605215) + (xy 154.259357 65.853022) (xy 154.259357 65.853033) (xy 147.7505 65.853033) (xy 147.750499 65.351656) + (xy 147.740349 65.252292) (xy 147.687003 65.091303) (xy 147.686999 65.091297) (xy 147.686998 65.091294) + (xy 147.59797 64.946959) (xy 147.597967 64.946955) (xy 147.478044 64.827032) (xy 147.47804 64.827029) + (xy 147.333705 64.738001) (xy 147.333699 64.737998) (xy 147.333697 64.737997) (xy 147.313879 64.73143) + (xy 147.172709 64.684651) (xy 147.073346 64.6745) (xy 146.226662 64.6745) (xy 146.226644 64.674501) + (xy 146.127292 64.68465) (xy 146.127289 64.684651) (xy 145.966305 64.737996) (xy 145.966294 64.738001) + (xy 145.821959 64.827029) (xy 145.821955 64.827032) (xy 145.702032 64.946955) (xy 145.702029 64.946959) + (xy 145.613001 65.091294) (xy 145.612996 65.091305) (xy 145.559651 65.25229) (xy 145.5495 65.351647) + (xy 145.5495 65.898337) (xy 120.828299 65.898337) (xy 120.829127 65.888347) (xy 120.845643 65.689033) + (xy 120.845643 65.689022) (xy 120.825109 65.441215) (xy 120.825107 65.441203) (xy 120.764063 65.200146) + (xy 120.664173 64.972421) (xy 120.528166 64.764245) (xy 120.504002 64.737996) (xy 120.359744 64.58129) + (xy 120.163509 64.428554) (xy 120.163507 64.428553) (xy 120.163506 64.428552) (xy 119.944811 64.3102) + (xy 119.944802 64.310197) (xy 119.709616 64.229457) (xy 119.464335 64.188528) (xy 119.215665 64.188528) + (xy 118.970383 64.229457) (xy 118.735197 64.310197) (xy 118.735188 64.3102) (xy 118.516493 64.428552) + (xy 118.320257 64.581289) (xy 118.151833 64.764245) (xy 118.015826 64.972421) (xy 117.915936 65.200146) + (xy 117.854892 65.441203) (xy 117.85489 65.441215) (xy 117.834357 65.689022) (xy 117.834357 65.689033) + (xy 115.9405 65.689033) (xy 115.9405 63.523337) (xy 127.4245 63.523337) (xy 127.424501 63.523355) + (xy 127.43465 63.622707) (xy 127.434651 63.62271) (xy 127.487996 63.783694) (xy 127.488001 63.783705) + (xy 127.577029 63.92804) (xy 127.577032 63.928044) (xy 127.696955 64.047967) (xy 127.696959 64.04797) + (xy 127.841294 64.136998) (xy 127.841297 64.136999) (xy 127.841303 64.137003) (xy 128.002292 64.190349) + (xy 128.101655 64.2005) (xy 128.948344 64.200499) (xy 128.948352 64.200498) (xy 128.948355 64.200498) + (xy 129.00276 64.19494) (xy 129.047708 64.190349) (xy 129.208697 64.137003) (xy 129.353044 64.047968) + (xy 129.472968 63.928044) (xy 129.562003 63.783697) (xy 129.574946 63.744638) (xy 130.9645 63.744638) + (xy 130.981669 63.853044) (xy 130.991598 63.915729) (xy 131.045127 64.080473) (xy 131.123768 64.234816) + (xy 131.225586 64.374956) (xy 131.348072 64.497442) (xy 131.488212 64.59926) (xy 131.642555 64.677901) + (xy 131.807299 64.73143) (xy 131.978389 64.758528) (xy 131.97839 64.758528) (xy 132.15161 64.758528) + (xy 132.151611 64.758528) (xy 132.322701 64.73143) (xy 132.487445 64.677901) (xy 132.641788 64.59926) + (xy 132.781928 64.497442) (xy 132.904414 64.374956) (xy 133.006232 64.234816) (xy 133.084873 64.080473) + (xy 133.138402 63.915729) (xy 133.158968 63.785878) (xy 135.6145 63.785878) (xy 135.639132 63.972967) + (xy 135.647874 64.039368) (xy 135.688329 64.190348) (xy 135.71405 64.28634) (xy 135.714053 64.28635) + (xy 135.811894 64.522559) (xy 135.811899 64.52257) (xy 135.939734 64.743985) (xy 135.939745 64.744001) + (xy 136.095388 64.946839) (xy 136.095394 64.946846) (xy 136.276181 65.127633) (xy 136.276187 65.127638) + (xy 136.479035 65.283289) (xy 136.479042 65.283293) (xy 136.700457 65.411128) (xy 136.700462 65.41113) + (xy 136.700465 65.411132) (xy 136.936687 65.508978) (xy 137.18366 65.575154) (xy 137.437157 65.608528) + (xy 137.437164 65.608528) (xy 137.692836 65.608528) (xy 137.692843 65.608528) (xy 137.94634 65.575154) + (xy 138.193313 65.508978) (xy 138.429535 65.411132) (xy 138.650965 65.283289) (xy 138.853813 65.127638) + (xy 139.03461 64.946841) (xy 139.190261 64.743993) (xy 139.318104 64.522563) (xy 139.41595 64.286341) + (xy 139.482126 64.039368) (xy 139.5155 63.785871) (xy 139.5155 63.744638) (xy 141.9645 63.744638) + (xy 141.981669 63.853044) (xy 141.991598 63.915729) (xy 142.045127 64.080473) (xy 142.123768 64.234816) + (xy 142.225586 64.374956) (xy 142.348072 64.497442) (xy 142.488212 64.59926) (xy 142.642555 64.677901) + (xy 142.807299 64.73143) (xy 142.978389 64.758528) (xy 142.97839 64.758528) (xy 143.15161 64.758528) + (xy 143.151611 64.758528) (xy 143.322701 64.73143) (xy 143.487445 64.677901) (xy 143.641788 64.59926) + (xy 143.781928 64.497442) (xy 143.904414 64.374956) (xy 144.006232 64.234816) (xy 144.084873 64.080473) + (xy 144.138402 63.915729) (xy 144.1655 63.744639) (xy 144.1655 63.571417) (xy 144.138402 63.400327) + (xy 144.084873 63.235583) (xy 144.006232 63.08124) (xy 143.904414 62.9411) (xy 143.781928 62.818614) + (xy 143.641788 62.716796) (xy 143.487445 62.638155) (xy 143.322701 62.584626) (xy 143.322699 62.584625) + (xy 143.322698 62.584625) (xy 143.191271 62.563809) (xy 143.151611 62.557528) (xy 142.978389 62.557528) + (xy 142.938728 62.563809) (xy 142.807302 62.584625) (xy 142.642552 62.638156) (xy 142.488211 62.716796) + (xy 142.408256 62.774887) (xy 142.348072 62.818614) (xy 142.34807 62.818616) (xy 142.348069 62.818616) + (xy 142.225588 62.941097) (xy 142.225588 62.941098) (xy 142.225586 62.9411) (xy 142.181859 63.001284) + (xy 142.123768 63.081239) (xy 142.045128 63.23558) (xy 141.991597 63.40033) (xy 141.9645 63.571417) + (xy 141.9645 63.744638) (xy 139.5155 63.744638) (xy 139.5155 63.530185) (xy 139.514599 63.523345) + (xy 139.511307 63.498337) (xy 139.482126 63.276688) (xy 139.41595 63.029715) (xy 139.318104 62.793493) + (xy 139.318102 62.79349) (xy 139.3181 62.793485) (xy 139.190265 62.57207) (xy 139.190261 62.572063) + (xy 139.03461 62.369215) (xy 139.034605 62.369209) (xy 138.853818 62.188422) (xy 138.853811 62.188416) + (xy 138.650973 62.032773) (xy 138.650971 62.032771) (xy 138.650965 62.032767) (xy 138.65096 62.032764) + (xy 138.650957 62.032762) (xy 138.429542 61.904927) (xy 138.429531 61.904922) (xy 138.193322 61.807081) + (xy 138.193315 61.807079) (xy 138.193313 61.807078) (xy 137.94634 61.740902) (xy 137.890007 61.733485) + (xy 137.69285 61.707528) (xy 137.692843 61.707528) (xy 137.437157 61.707528) (xy 137.437149 61.707528) + (xy 137.211826 61.737193) (xy 137.18366 61.740902) (xy 136.936687 61.807078) (xy 136.936677 61.807081) + (xy 136.700468 61.904922) (xy 136.700457 61.904927) (xy 136.479042 62.032762) (xy 136.479026 62.032773) + (xy 136.276188 62.188416) (xy 136.276181 62.188422) (xy 136.095394 62.369209) (xy 136.095388 62.369216) + (xy 135.939745 62.572054) (xy 135.939734 62.57207) (xy 135.811899 62.793485) (xy 135.811894 62.793496) + (xy 135.714053 63.029705) (xy 135.71405 63.029715) (xy 135.675096 63.175096) (xy 135.647874 63.276689) + (xy 135.6145 63.530177) (xy 135.6145 63.785878) (xy 133.158968 63.785878) (xy 133.1655 63.744639) + (xy 133.1655 63.571417) (xy 133.138402 63.400327) (xy 133.084873 63.235583) (xy 133.006232 63.08124) + (xy 132.904414 62.9411) (xy 132.781928 62.818614) (xy 132.641788 62.716796) (xy 132.487445 62.638155) + (xy 132.322701 62.584626) (xy 132.322699 62.584625) (xy 132.322698 62.584625) (xy 132.191271 62.563809) + (xy 132.151611 62.557528) (xy 131.978389 62.557528) (xy 131.938728 62.563809) (xy 131.807302 62.584625) + (xy 131.642552 62.638156) (xy 131.488211 62.716796) (xy 131.408256 62.774887) (xy 131.348072 62.818614) + (xy 131.34807 62.818616) (xy 131.348069 62.818616) (xy 131.225588 62.941097) (xy 131.225588 62.941098) + (xy 131.225586 62.9411) (xy 131.181859 63.001284) (xy 131.123768 63.081239) (xy 131.045128 63.23558) + (xy 130.991597 63.40033) (xy 130.9645 63.571417) (xy 130.9645 63.744638) (xy 129.574946 63.744638) + (xy 129.615349 63.622708) (xy 129.6255 63.523345) (xy 129.625499 62.976656) (xy 129.622944 62.951647) + (xy 129.615349 62.877292) (xy 129.615348 62.877289) (xy 129.6015 62.835499) (xy 129.562003 62.716303) + (xy 129.561999 62.716297) (xy 129.561998 62.716294) (xy 129.47297 62.571959) (xy 129.472967 62.571955) + (xy 129.353044 62.452032) (xy 129.35304 62.452029) (xy 129.208705 62.363001) (xy 129.208699 62.362998) + (xy 129.208697 62.362997) (xy 129.208694 62.362996) (xy 129.047709 62.309651) (xy 128.948346 62.2995) + (xy 128.101662 62.2995) (xy 128.101644 62.299501) (xy 128.002292 62.30965) (xy 128.002289 62.309651) + (xy 127.841305 62.362996) (xy 127.841294 62.363001) (xy 127.696959 62.452029) (xy 127.696955 62.452032) + (xy 127.577032 62.571955) (xy 127.577029 62.571959) (xy 127.488001 62.716294) (xy 127.487996 62.716305) + (xy 127.434651 62.87729) (xy 127.4245 62.976647) (xy 127.4245 63.523337) (xy 115.9405 63.523337) + (xy 115.9405 60.223337) (xy 127.4245 60.223337) (xy 127.424501 60.223355) (xy 127.43465 60.322707) + (xy 127.434651 60.32271) (xy 127.487996 60.483694) (xy 127.488001 60.483705) (xy 127.577029 60.62804) + (xy 127.577032 60.628044) (xy 127.696955 60.747967) (xy 127.696959 60.74797) (xy 127.841294 60.836998) + (xy 127.841297 60.836999) (xy 127.841303 60.837003) (xy 128.002292 60.890349) (xy 128.101655 60.9005) + (xy 128.948344 60.900499) (xy 128.948352 60.900498) (xy 128.948355 60.900498) (xy 129.00276 60.89494) + (xy 129.047708 60.890349) (xy 129.208697 60.837003) (xy 129.353044 60.747968) (xy 129.472968 60.628044) + (xy 129.562003 60.483697) (xy 129.615349 60.322708) (xy 129.6255 60.223345) (xy 129.625499 59.858033) + (xy 131.059357 59.858033) (xy 131.07989 60.10584) (xy 131.079892 60.105852) (xy 131.140936 60.346909) + (xy 131.240826 60.574634) (xy 131.376833 60.78281) (xy 131.387666 60.794578) (xy 131.545256 60.965766) + (xy 131.741491 61.118502) (xy 131.96019 61.236856) (xy 132.195386 61.317599) (xy 132.440665 61.358528) + (xy 132.689335 61.358528) (xy 132.934614 61.317599) (xy 133.16981 61.236856) (xy 133.388509 61.118502) + (xy 133.584744 60.965766) (xy 133.753164 60.782813) (xy 133.889173 60.574635) (xy 133.989063 60.346909) + (xy 134.050108 60.105849) (xy 134.050955 60.095633) (xy 134.070643 59.858033) (xy 141.059357 59.858033) + (xy 141.07989 60.10584) (xy 141.079892 60.105852) (xy 141.140936 60.346909) (xy 141.240826 60.574634) + (xy 141.376833 60.78281) (xy 141.387666 60.794578) (xy 141.545256 60.965766) (xy 141.741491 61.118502) + (xy 141.96019 61.236856) (xy 142.195386 61.317599) (xy 142.440665 61.358528) (xy 142.689335 61.358528) + (xy 142.934614 61.317599) (xy 143.16981 61.236856) (xy 143.388509 61.118502) (xy 143.584744 60.965766) + (xy 143.753164 60.782813) (xy 143.889173 60.574635) (xy 143.989063 60.346909) (xy 144.050108 60.105849) + (xy 144.050955 60.095633) (xy 144.070643 59.858033) (xy 144.070643 59.858022) (xy 144.050109 59.610215) + (xy 144.050107 59.610203) (xy 143.989063 59.369146) (xy 143.889173 59.141421) (xy 143.753166 58.933245) + (xy 143.656474 58.82821) (xy 143.584744 58.75029) (xy 143.388509 58.597554) (xy 143.388507 58.597553) + (xy 143.388506 58.597552) (xy 143.169811 58.4792) (xy 143.169802 58.479197) (xy 142.934616 58.398457) + (xy 142.689335 58.357528) (xy 142.440665 58.357528) (xy 142.195383 58.398457) (xy 141.960197 58.479197) + (xy 141.960188 58.4792) (xy 141.741493 58.597552) (xy 141.545257 58.750289) (xy 141.545256 58.75029) + (xy 141.541953 58.753878) (xy 141.376833 58.933245) (xy 141.240826 59.141421) (xy 141.140936 59.369146) + (xy 141.079892 59.610203) (xy 141.07989 59.610215) (xy 141.059357 59.858022) (xy 141.059357 59.858033) + (xy 134.070643 59.858033) (xy 134.070643 59.858022) (xy 134.050109 59.610215) (xy 134.050107 59.610203) + (xy 133.989063 59.369146) (xy 133.889173 59.141421) (xy 133.753166 58.933245) (xy 133.656474 58.82821) + (xy 133.584744 58.75029) (xy 133.388509 58.597554) (xy 133.388507 58.597553) (xy 133.388506 58.597552) + (xy 133.169811 58.4792) (xy 133.169802 58.479197) (xy 132.934616 58.398457) (xy 132.689335 58.357528) + (xy 132.440665 58.357528) (xy 132.195383 58.398457) (xy 131.960197 58.479197) (xy 131.960188 58.4792) + (xy 131.741493 58.597552) (xy 131.545257 58.750289) (xy 131.545256 58.75029) (xy 131.541953 58.753878) + (xy 131.376833 58.933245) (xy 131.240826 59.141421) (xy 131.140936 59.369146) (xy 131.079892 59.610203) + (xy 131.07989 59.610215) (xy 131.059357 59.858022) (xy 131.059357 59.858033) (xy 129.625499 59.858033) + (xy 129.625499 59.676656) (xy 129.622944 59.651647) (xy 129.615349 59.577292) (xy 129.615348 59.577289) + (xy 129.606177 59.549612) (xy 129.562003 59.416303) (xy 129.561999 59.416297) (xy 129.561998 59.416294) + (xy 129.47297 59.271959) (xy 129.472967 59.271955) (xy 129.353044 59.152032) (xy 129.35304 59.152029) + (xy 129.208705 59.063001) (xy 129.208699 59.062998) (xy 129.208697 59.062997) (xy 129.208694 59.062996) + (xy 129.047709 59.009651) (xy 128.948346 58.9995) (xy 128.101662 58.9995) (xy 128.101644 58.999501) + (xy 128.002292 59.00965) (xy 128.002289 59.009651) (xy 127.841305 59.062996) (xy 127.841294 59.063001) + (xy 127.696959 59.152029) (xy 127.696955 59.152032) (xy 127.577032 59.271955) (xy 127.577029 59.271959) + (xy 127.488001 59.416294) (xy 127.487996 59.416305) (xy 127.434651 59.57729) (xy 127.4245 59.676647) + (xy 127.4245 60.223337) (xy 115.9405 60.223337) (xy 115.9405 57.758033) (xy 136.059357 57.758033) + (xy 136.07989 58.00584) (xy 136.079892 58.005852) (xy 136.140936 58.246909) (xy 136.240826 58.474634) + (xy 136.376833 58.68281) (xy 136.404292 58.712638) (xy 136.545256 58.865766) (xy 136.741491 59.018502) + (xy 136.741493 59.018503) (xy 136.95887 59.136142) (xy 136.96019 59.136856) (xy 137.195386 59.217599) + (xy 137.440665 59.258528) (xy 137.689335 59.258528) (xy 137.934614 59.217599) (xy 138.16981 59.136856) + (xy 138.388509 59.018502) (xy 138.584744 58.865766) (xy 138.753164 58.682813) (xy 138.889173 58.474635) + (xy 138.989063 58.246909) (xy 139.050108 58.005849) (xy 139.056322 57.930855) (xy 139.070643 57.758033) + (xy 139.070643 57.758022) (xy 139.050109 57.510215) (xy 139.050107 57.510203) (xy 138.989063 57.269146) + (xy 138.889173 57.041421) (xy 138.753166 56.833245) (xy 138.662723 56.734998) (xy 138.584744 56.65029) + (xy 138.388509 56.497554) (xy 138.388507 56.497553) (xy 138.388506 56.497552) (xy 138.169811 56.3792) + (xy 138.169802 56.379197) (xy 137.934616 56.298457) (xy 137.689335 56.257528) (xy 137.440665 56.257528) + (xy 137.195383 56.298457) (xy 136.960197 56.379197) (xy 136.960188 56.3792) (xy 136.741493 56.497552) + (xy 136.545257 56.650289) (xy 136.376833 56.833245) (xy 136.240826 57.041421) (xy 136.140936 57.269146) + (xy 136.079892 57.510203) (xy 136.07989 57.510215) (xy 136.059357 57.758022) (xy 136.059357 57.758033) + (xy 115.9405 57.758033) (xy 115.9405 53.428878) (xy 117.3895 53.428878) (xy 117.407495 53.565554) + (xy 117.422874 53.682368) (xy 117.469702 53.857133) (xy 117.48905 53.92934) (xy 117.489053 53.92935) + (xy 117.586894 54.165559) (xy 117.586899 54.16557) (xy 117.714734 54.386985) (xy 117.714745 54.387001) + (xy 117.870388 54.589839) (xy 117.870394 54.589846) (xy 118.051181 54.770633) (xy 118.051188 54.770639) + (xy 118.123379 54.826033) (xy 118.254035 54.926289) (xy 118.254042 54.926293) (xy 118.475457 55.054128) + (xy 118.475462 55.05413) (xy 118.475465 55.054132) (xy 118.711687 55.151978) (xy 118.95866 55.218154) + (xy 119.212157 55.251528) (xy 119.212164 55.251528) (xy 119.467836 55.251528) (xy 119.467843 55.251528) + (xy 119.72134 55.218154) (xy 119.968313 55.151978) (xy 120.204535 55.054132) (xy 120.425965 54.926289) + (xy 120.628813 54.770638) (xy 120.80961 54.589841) (xy 120.965261 54.386993) (xy 121.093104 54.165563) + (xy 121.19095 53.929341) (xy 121.257126 53.682368) (xy 121.2905 53.428871) (xy 121.2905 53.387638) + (xy 123.7395 53.387638) (xy 123.764811 53.54745) (xy 123.766598 53.558729) (xy 123.80677 53.682366) + (xy 123.820128 53.723475) (xy 123.888226 53.857126) (xy 123.898768 53.877816) (xy 124.000586 54.017956) + (xy 124.123072 54.140442) (xy 124.263212 54.24226) (xy 124.417555 54.320901) (xy 124.582299 54.37443) + (xy 124.753389 54.401528) (xy 124.75339 54.401528) (xy 124.92661 54.401528) (xy 124.926611 54.401528) + (xy 125.097701 54.37443) (xy 125.262445 54.320901) (xy 125.416788 54.24226) (xy 125.556928 54.140442) + (xy 125.679414 54.017956) (xy 125.781232 53.877816) (xy 125.859873 53.723473) (xy 125.913402 53.558729) + (xy 125.9405 53.387639) (xy 125.9405 53.214417) (xy 125.913402 53.043327) (xy 125.859873 52.878583) + (xy 125.781232 52.72424) (xy 125.679414 52.5841) (xy 125.556928 52.461614) (xy 125.416788 52.359796) + (xy 125.262445 52.281155) (xy 125.097701 52.227626) (xy 125.097699 52.227625) (xy 125.097698 52.227625) + (xy 124.966271 52.206809) (xy 124.926611 52.200528) (xy 124.753389 52.200528) (xy 124.713728 52.206809) + (xy 124.582302 52.227625) (xy 124.417552 52.281156) (xy 124.263211 52.359796) (xy 124.183256 52.417887) + (xy 124.123072 52.461614) (xy 124.12307 52.461616) (xy 124.123069 52.461616) (xy 124.000588 52.584097) + (xy 124.000588 52.584098) (xy 124.000586 52.5841) (xy 123.98455 52.606172) (xy 123.898768 52.724239) + (xy 123.820128 52.87858) (xy 123.766597 53.04333) (xy 123.7395 53.214417) (xy 123.7395 53.387638) + (xy 121.2905 53.387638) (xy 121.2905 53.173185) (xy 121.257126 52.919688) (xy 121.19095 52.672715) + (xy 121.093104 52.436493) (xy 121.093102 52.43649) (xy 121.0931 52.436485) (xy 120.965265 52.21507) + (xy 120.965261 52.215063) (xy 120.817127 52.022011) (xy 120.809611 52.012216) (xy 120.809605 52.012209) + (xy 120.628818 51.831422) (xy 120.628811 51.831416) (xy 120.425973 51.675773) (xy 120.425971 51.675771) + (xy 120.425965 51.675767) (xy 120.42596 51.675764) (xy 120.425957 51.675762) (xy 120.204542 51.547927) + (xy 120.204531 51.547922) (xy 119.968322 51.450081) (xy 119.968315 51.450079) (xy 119.968313 51.450078) + (xy 119.762751 51.394998) (xy 126.489999 51.394998) (xy 131.489999 51.395) (xy 131.49 40.605) (xy 131.489999 40.605) + (xy 126.49 40.605) (xy 126.489999 40.605001) (xy 126.489999 46.022197) (xy 126.489999 51.394998) + (xy 119.762751 51.394998) (xy 119.72134 51.383902) (xy 119.665007 51.376485) (xy 119.46785 51.350528) + (xy 119.467843 51.350528) (xy 119.212157 51.350528) (xy 119.212149 51.350528) (xy 118.986826 51.380193) + (xy 118.95866 51.383902) (xy 118.917242 51.395) (xy 118.711687 51.450078) (xy 118.711677 51.450081) + (xy 118.475468 51.547922) (xy 118.475457 51.547927) (xy 118.254042 51.675762) (xy 118.254026 51.675773) + (xy 118.051188 51.831416) (xy 118.051181 51.831422) (xy 117.870394 52.012209) (xy 117.870388 52.012216) + (xy 117.714745 52.215054) (xy 117.714734 52.21507) (xy 117.586899 52.436485) (xy 117.586894 52.436496) + (xy 117.489053 52.672705) (xy 117.48905 52.672715) (xy 117.474767 52.726022) (xy 117.422874 52.919689) + (xy 117.3895 53.173177) (xy 117.3895 53.428878) (xy 115.9405 53.428878) (xy 115.9405 50.590088) + (xy 115.940501 50.590075) (xy 115.940501 50.421972) (xy 115.938381 50.41406) (xy 115.899577 50.269244) + (xy 115.899573 50.269237) (xy 115.820524 50.132318) (xy 115.820518 50.13231) (xy 115.796517 50.108309) + (xy 115.763032 50.046986) (xy 115.763992 49.990187) (xy 115.764061 49.989912) (xy 115.764063 49.989909) + (xy 115.825108 49.748849) (xy 115.845643 49.501033) (xy 122.834357 49.501033) (xy 122.85489 49.74884) + (xy 122.854892 49.748852) (xy 122.915936 49.989909) (xy 123.015826 50.217634) (xy 123.151833 50.42581) + (xy 123.151836 50.425813) (xy 123.320256 50.608766) (xy 123.516491 50.761502) (xy 123.73519 50.879856) + (xy 123.970386 50.960599) (xy 124.215665 51.001528) (xy 124.464335 51.001528) (xy 124.709614 50.960599) + (xy 124.94481 50.879856) (xy 125.163509 50.761502) (xy 125.359744 50.608766) (xy 125.528164 50.425813) + (xy 125.664173 50.217635) (xy 125.764063 49.989909) (xy 125.825108 49.748849) (xy 125.845643 49.501028) + (xy 125.837933 49.407988) (xy 125.825109 49.253215) (xy 125.825107 49.253203) (xy 125.764063 49.012146) + (xy 125.664173 48.784421) (xy 125.528166 48.576245) (xy 125.466047 48.508766) (xy 125.359744 48.39329) + (xy 125.163509 48.240554) (xy 125.163507 48.240553) (xy 125.163506 48.240552) (xy 124.944811 48.1222) + (xy 124.944802 48.122197) (xy 124.709616 48.041457) (xy 124.464335 48.000528) (xy 124.215665 48.000528) + (xy 123.970383 48.041457) (xy 123.735197 48.122197) (xy 123.735188 48.1222) (xy 123.516493 48.240552) + (xy 123.320257 48.393289) (xy 123.151833 48.576245) (xy 123.015826 48.784421) (xy 122.915936 49.012146) + (xy 122.854892 49.253203) (xy 122.85489 49.253215) (xy 122.834357 49.501022) (xy 122.834357 49.501033) + (xy 115.845643 49.501033) (xy 115.845643 49.501028) (xy 115.837933 49.407988) (xy 115.825109 49.253215) + (xy 115.825107 49.253203) (xy 115.764063 49.012146) (xy 115.664173 48.784421) (xy 115.528166 48.576245) + (xy 115.466047 48.508766) (xy 115.359744 48.39329) (xy 115.163509 48.240554) (xy 115.163507 48.240553) + (xy 115.163506 48.240552) (xy 114.944811 48.1222) (xy 114.944802 48.122197) (xy 114.709616 48.041457) + (xy 114.464335 48.000528) (xy 114.215665 48.000528) (xy 113.970383 48.041457) (xy 113.735197 48.122197) + (xy 113.735188 48.1222) (xy 113.516493 48.240552) (xy 113.320257 48.393289) (xy 113.151833 48.576245) + (xy 113.015826 48.784421) (xy 112.915936 49.012146) (xy 112.854892 49.253203) (xy 112.85489 49.253215) + (xy 112.834357 49.501022) (xy 112.834357 49.501033) (xy 112.85489 49.74884) (xy 112.854892 49.748852) + (xy 112.915936 49.989909) (xy 113.015826 50.217634) (xy 113.151833 50.42581) (xy 113.151836 50.425813) + (xy 113.320256 50.608766) (xy 113.516491 50.761502) (xy 113.73519 50.879856) (xy 113.970386 50.960599) + (xy 114.215665 51.001528) (xy 114.464335 51.001528) (xy 114.595091 50.979709) (xy 114.664456 50.988091) + (xy 114.718278 51.032644) (xy 114.739468 51.099223) (xy 114.7395 51.102018) (xy 114.7395 52.350896) + (xy 114.719815 52.417935) (xy 114.667011 52.46369) (xy 114.597853 52.473634) (xy 114.542615 52.451214) + (xy 114.416791 52.359798) (xy 114.41679 52.359797) (xy 114.416788 52.359796) (xy 114.262445 52.281155) + (xy 114.097701 52.227626) (xy 114.097699 52.227625) (xy 114.097698 52.227625) (xy 113.966271 52.206809) + (xy 113.926611 52.200528) (xy 113.753389 52.200528) (xy 113.713728 52.206809) (xy 113.582302 52.227625) + (xy 113.417552 52.281156) (xy 113.263211 52.359796) (xy 113.183256 52.417887) (xy 113.123072 52.461614) + (xy 113.12307 52.461616) (xy 113.123069 52.461616) (xy 113.000588 52.584097) (xy 113.000588 52.584098) + (xy 113.000586 52.5841) (xy 112.98455 52.606172) (xy 112.898768 52.724239) (xy 112.820128 52.87858) + (xy 112.766597 53.04333) (xy 112.7395 53.214417) (xy 112.7395 53.387638) (xy 106.496314 53.387638) + (xy 106.434616 53.366457) (xy 106.189335 53.325528) (xy 105.940665 53.325528) (xy 105.695383 53.366457) + (xy 105.460197 53.447197) (xy 105.460188 53.4472) (xy 105.241493 53.565552) (xy 105.045257 53.718289) + (xy 104.876833 53.901245) (xy 104.740826 54.109421) (xy 104.640936 54.337146) (xy 104.579892 54.578203) + (xy 104.57989 54.578215) (xy 104.559357 54.826022) (xy 104.559357 54.826033) (xy 97.570643 54.826033) + (xy 97.570643 54.826022) (xy 97.550109 54.578215) (xy 97.550107 54.578203) (xy 97.489063 54.337146) + (xy 97.389173 54.109421) (xy 97.253166 53.901245) (xy 97.212551 53.857126) (xy 97.084744 53.71829) + (xy 96.888509 53.565554) (xy 96.888507 53.565553) (xy 96.888506 53.565552) (xy 96.669811 53.4472) + (xy 96.669802 53.447197) (xy 96.434616 53.366457) (xy 96.189335 53.325528) (xy 95.940665 53.325528) + (xy 95.695383 53.366457) (xy 95.460197 53.447197) (xy 95.460188 53.4472) (xy 95.241493 53.565552) + (xy 95.045257 53.718289) (xy 94.876833 53.901245) (xy 94.740826 54.109421) (xy 94.640936 54.337146) + (xy 94.579892 54.578203) (xy 94.57989 54.578215) (xy 94.559357 54.826022) (xy 94.559357 54.826033) + (xy 94.57989 55.07384) (xy 94.579892 55.073852) (xy 94.641007 55.315188) (xy 94.638382 55.385008) + (xy 94.608482 55.433309) (xy 93.431286 56.610506) (xy 93.319481 56.72231) (xy 93.319479 56.722313) + (xy 93.312155 56.734999) (xy 93.274715 56.799849) (xy 93.269361 56.809122) (xy 93.269359 56.809124) + (xy 93.240425 56.859237) (xy 93.240424 56.859238) (xy 93.236757 56.872924) (xy 93.199499 57.011971) + (xy 93.199499 57.011973) (xy 93.199499 57.180074) (xy 93.1995 57.180087) (xy 93.1995 59.291289) + (xy 93.179815 59.358328) (xy 93.127011 59.404083) (xy 93.057853 59.414027) (xy 92.994297 59.385002) + (xy 92.965902 59.347383) (xy 92.965795 59.34745) (xy 92.965088 59.346304) (xy 92.963118 59.343694) + (xy 92.962004 59.341307) (xy 92.962003 59.341303) (xy 92.915594 59.266063) (xy 92.87297 59.196959) + (xy 92.872967 59.196955) (xy 92.753044 59.077032) (xy 92.75304 59.077029) (xy 92.608705 58.988001) + (xy 92.608699 58.987998) (xy 92.608697 58.987997) (xy 92.598596 58.98465) (xy 92.447709 58.934651) + (xy 92.348346 58.9245) (xy 91.501662 58.9245) (xy 91.501644 58.924501) (xy 91.402292 58.93465) (xy 91.402289 58.934651) + (xy 91.241305 58.987996) (xy 91.241294 58.988001) (xy 91.096959 59.077029) (xy 91.096955 59.077032) + (xy 90.977032 59.196955) (xy 90.977029 59.196959) (xy 90.888001 59.341294) (xy 90.887996 59.341305) + (xy 90.834651 59.50229) (xy 90.8245 59.601647) (xy 90.8245 60.148337) (xy 90.824501 60.148355) (xy 90.83465 60.247707) + (xy 90.834651 60.24771) (xy 90.887996 60.408694) (xy 90.888001 60.408705) (xy 90.977029 60.55304) + (xy 90.977032 60.553044) (xy 91.096955 60.672967) (xy 91.096959 60.67297) (xy 91.241294 60.761998) + (xy 91.241297 60.761999) (xy 91.241303 60.762003) (xy 91.402292 60.815349) (xy 91.501655 60.8255) + (xy 92.348344 60.825499) (xy 92.348352 60.825498) (xy 92.348355 60.825498) (xy 92.40276 60.81994) + (xy 92.447708 60.815349) (xy 92.608697 60.762003) (xy 92.753044 60.672968) (xy 92.872968 60.553044) + (xy 92.962003 60.408697) (xy 92.962007 60.408684) (xy 92.963116 60.406309) (xy 92.964319 60.404941) + (xy 92.965795 60.40255) (xy 92.966203 60.402802) (xy 93.009286 60.353868) (xy 93.076479 60.334714) + (xy 93.143361 60.354927) (xy 93.188698 60.408091) (xy 93.1995 60.45871) (xy 93.1995 62.591289) (xy 93.179815 62.658328) + (xy 93.127011 62.704083) (xy 93.057853 62.714027) (xy 92.994297 62.685002) (xy 92.965902 62.647383) + (xy 92.965795 62.64745) (xy 92.965088 62.646304) (xy 92.963118 62.643694) (xy 92.962004 62.641307) + (xy 92.962003 62.641303) (xy 92.91033 62.557528) (xy 92.87297 62.496959) (xy 92.872967 62.496955) + (xy 92.753044 62.377032) (xy 92.75304 62.377029) (xy 92.608705 62.288001) (xy 92.608699 62.287998) + (xy 92.608697 62.287997) (xy 92.573742 62.276414) (xy 92.447709 62.234651) (xy 92.348346 62.2245) + (xy 91.501662 62.2245) (xy 91.501644 62.224501) (xy 91.402292 62.23465) (xy 91.402289 62.234651) + (xy 91.241305 62.287996) (xy 91.241294 62.288001) (xy 91.096959 62.377029) (xy 91.096955 62.377032) + (xy 90.977032 62.496955) (xy 90.977029 62.496959) (xy 90.888001 62.641294) (xy 90.887996 62.641305) + (xy 90.834651 62.80229) (xy 90.8245 62.901647) (xy 90.8245 63.448337) (xy 65.8755 63.448337) (xy 65.8755 61.470945) + (xy 65.875499 61.470941) (xy 65.863305 61.425431) (xy 65.852713 61.385902) (xy 65.834577 61.318217) + (xy 65.787603 61.236856) (xy 65.75552 61.181286) (xy 65.643716 61.069482) (xy 65.643715 61.069481) + (xy 65.639385 61.065151) (xy 65.639374 61.065141) (xy 65.012872 60.438639) (xy 76.2395 60.438639) + (xy 76.246638 60.483705) (xy 76.265645 60.603717) (xy 76.266598 60.609729) (xy 76.320127 60.774473) + (xy 76.398768 60.928816) (xy 76.500586 61.068956) (xy 76.623072 61.191442) (xy 76.763212 61.29326) + (xy 76.917555 61.371901) (xy 77.082299 61.42543) (xy 77.253389 61.452528) (xy 77.25339 61.452528) + (xy 77.42661 61.452528) (xy 77.426611 61.452528) (xy 77.597701 61.42543) (xy 77.762445 61.371901) + (xy 77.916788 61.29326) (xy 78.056928 61.191442) (xy 78.179414 61.068956) (xy 78.281232 60.928816) + (xy 78.359873 60.774473) (xy 78.413402 60.609729) (xy 78.433968 60.479878) (xy 80.8895 60.479878) + (xy 80.9095 60.631785) (xy 80.922874 60.733368) (xy 80.967657 60.9005) (xy 80.98905 60.98034) (xy 80.989053 60.98035) + (xy 81.086894 61.216559) (xy 81.086899 61.21657) (xy 81.214734 61.437985) (xy 81.214745 61.438001) + (xy 81.370388 61.640839) (xy 81.370394 61.640846) (xy 81.551181 61.821633) (xy 81.551187 61.821638) + (xy 81.754035 61.977289) (xy 81.754042 61.977293) (xy 81.975457 62.105128) (xy 81.975462 62.10513) + (xy 81.975465 62.105132) (xy 82.124426 62.166833) (xy 82.176545 62.188422) (xy 82.211687 62.202978) + (xy 82.45866 62.269154) (xy 82.712157 62.302528) (xy 82.712164 62.302528) (xy 82.967836 62.302528) + (xy 82.967843 62.302528) (xy 83.22134 62.269154) (xy 83.468313 62.202978) (xy 83.704535 62.105132) + (xy 83.925965 61.977289) (xy 84.128813 61.821638) (xy 84.30961 61.640841) (xy 84.465261 61.437993) + (xy 84.593104 61.216563) (xy 84.69095 60.980341) (xy 84.757126 60.733368) (xy 84.7905 60.479871) + (xy 84.7905 60.438639) (xy 87.2395 60.438639) (xy 87.246638 60.483705) (xy 87.265645 60.603717) + (xy 87.266598 60.609729) (xy 87.320127 60.774473) (xy 87.398768 60.928816) (xy 87.500586 61.068956) + (xy 87.623072 61.191442) (xy 87.763212 61.29326) (xy 87.917555 61.371901) (xy 88.082299 61.42543) + (xy 88.253389 61.452528) (xy 88.25339 61.452528) (xy 88.42661 61.452528) (xy 88.426611 61.452528) + (xy 88.597701 61.42543) (xy 88.762445 61.371901) (xy 88.916788 61.29326) (xy 89.056928 61.191442) + (xy 89.179414 61.068956) (xy 89.281232 60.928816) (xy 89.359873 60.774473) (xy 89.413402 60.609729) + (xy 89.4405 60.438639) (xy 89.4405 60.265417) (xy 89.413402 60.094327) (xy 89.359873 59.929583) + (xy 89.281232 59.77524) (xy 89.179414 59.6351) (xy 89.056928 59.512614) (xy 88.916788 59.410796) + (xy 88.878534 59.391305) (xy 88.762447 59.332156) (xy 88.762446 59.332155) (xy 88.762445 59.332155) + (xy 88.597701 59.278626) (xy 88.597699 59.278625) (xy 88.597698 59.278625) (xy 88.444428 59.25435) + (xy 88.426611 59.251528) (xy 88.253389 59.251528) (xy 88.235572 59.25435) (xy 88.082302 59.278625) + (xy 87.917552 59.332156) (xy 87.763211 59.410796) (xy 87.688003 59.465439) (xy 87.623072 59.512614) + (xy 87.62307 59.512616) (xy 87.623069 59.512616) (xy 87.500588 59.635097) (xy 87.500588 59.635098) + (xy 87.500586 59.6351) (xy 87.456859 59.695284) (xy 87.398768 59.775239) (xy 87.320128 59.92958) + (xy 87.266597 60.09433) (xy 87.241739 60.251282) (xy 87.2395 60.265417) (xy 87.2395 60.438639) (xy 84.7905 60.438639) + (xy 84.7905 60.224185) (xy 84.757126 59.970688) (xy 84.69095 59.723715) (xy 84.593104 59.487493) + (xy 84.593102 59.48749) (xy 84.5931 59.487485) (xy 84.465265 59.26607) (xy 84.465261 59.266063) + (xy 84.401857 59.183434) (xy 84.309611 59.063216) (xy 84.309605 59.063209) (xy 84.128818 58.882422) + (xy 84.128811 58.882416) (xy 83.925973 58.726773) (xy 83.925971 58.726771) (xy 83.925965 58.726767) + (xy 83.92596 58.726764) (xy 83.925957 58.726762) (xy 83.704542 58.598927) (xy 83.704531 58.598922) + (xy 83.468322 58.501081) (xy 83.468315 58.501079) (xy 83.468313 58.501078) (xy 83.22134 58.434902) + (xy 83.165007 58.427485) (xy 82.96785 58.401528) (xy 82.967843 58.401528) (xy 82.712157 58.401528) + (xy 82.712149 58.401528) (xy 82.486826 58.431193) (xy 82.45866 58.434902) (xy 82.211687 58.501078) + (xy 82.211677 58.501081) (xy 81.975468 58.598922) (xy 81.975457 58.598927) (xy 81.754042 58.726762) + (xy 81.754026 58.726773) (xy 81.551188 58.882416) (xy 81.551181 58.882422) (xy 81.370394 59.063209) + (xy 81.370388 59.063216) (xy 81.214745 59.266054) (xy 81.214734 59.26607) (xy 81.086899 59.487485) + (xy 81.086894 59.487496) (xy 80.989053 59.723705) (xy 80.98905 59.723715) (xy 80.931564 59.938259) + (xy 80.922874 59.970689) (xy 80.8895 60.224177) (xy 80.8895 60.479878) (xy 78.433968 60.479878) + (xy 78.4405 60.438639) (xy 78.4405 60.265417) (xy 78.413402 60.094327) (xy 78.359873 59.929583) + (xy 78.281232 59.77524) (xy 78.179414 59.6351) (xy 78.056928 59.512614) (xy 77.916788 59.410796) + (xy 77.878534 59.391305) (xy 77.762447 59.332156) (xy 77.762446 59.332155) (xy 77.762445 59.332155) + (xy 77.597701 59.278626) (xy 77.597699 59.278625) (xy 77.597698 59.278625) (xy 77.444428 59.25435) + (xy 77.426611 59.251528) (xy 77.253389 59.251528) (xy 77.235572 59.25435) (xy 77.082302 59.278625) + (xy 76.917552 59.332156) (xy 76.763211 59.410796) (xy 76.688003 59.465439) (xy 76.623072 59.512614) + (xy 76.62307 59.512616) (xy 76.623069 59.512616) (xy 76.500588 59.635097) (xy 76.500588 59.635098) + (xy 76.500586 59.6351) (xy 76.456859 59.695284) (xy 76.398768 59.775239) (xy 76.320128 59.92958) + (xy 76.266597 60.09433) (xy 76.241739 60.251282) (xy 76.2395 60.265417) (xy 76.2395 60.438639) (xy 65.012872 60.438639) + (xy 63.932241 59.358008) (xy 63.898756 59.296685) (xy 63.90374 59.226993) (xy 63.945612 59.17106) + (xy 63.994136 59.149038) (xy 64.054803 59.136144) (xy 64.22773 59.059151) (xy 64.380871 58.947888) + (xy 64.507533 58.807216) (xy 64.602179 58.643284) (xy 64.660674 58.463256) (xy 64.68046 58.275) + (xy 64.660674 58.086744) (xy 64.602179 57.906716) (xy 64.507533 57.742784) (xy 64.380871 57.602112) + (xy 64.37849 57.600382) (xy 64.227734 57.490851) (xy 64.227729 57.490848) (xy 64.054807 57.413857) + (xy 64.054802 57.413855) (xy 63.909001 57.382865) (xy 63.869646 57.3745) (xy 63.680354 57.3745) + (xy 63.647897 57.381398) (xy 63.495197 57.413855) (xy 63.495192 57.413857) (xy 63.32227 57.490848) + (xy 63.322265 57.490851) (xy 63.299187 57.507619) (xy 63.23338 57.531099) (xy 63.226302 57.531301) + (xy 63.053841 57.531301) (xy 62.986802 57.511616) (xy 62.979535 57.506571) (xy 62.969671 57.499187) + (xy 62.952331 57.486205) (xy 62.952327 57.486203) (xy 62.817481 57.435909) (xy 62.817482 57.435909) + (xy 62.757882 57.429502) (xy 62.75788 57.429501) (xy 62.757872 57.429501) (xy 62.757864 57.429501) + (xy 60.978611 57.429501) (xy 60.911572 57.409816) (xy 60.865817 57.357012) (xy 60.855873 57.287854) + (xy 60.871224 57.243501) (xy 60.907836 57.180087) (xy 60.952179 57.103283) (xy 61.010674 56.923255) + (xy 61.03046 56.734999) (xy 61.019726 56.632868) (xy 62.209501 56.632868) (xy 62.209502 56.632874) + (xy 62.215909 56.692481) (xy 62.266203 56.827326) (xy 62.266207 56.827333) (xy 62.352453 56.942542) + (xy 62.352456 56.942545) (xy 62.467665 57.028791) (xy 62.467672 57.028795) (xy 62.602518 57.079089) + (xy 62.602517 57.079089) (xy 62.609445 57.079833) (xy 62.662128 57.085498) (xy 66.357873 57.085497) + (xy 66.417484 57.079089) (xy 66.552332 57.028794) (xy 66.667547 56.942544) (xy 66.753797 56.827329) + (xy 66.804092 56.692481) (xy 66.810501 56.632871) (xy 66.810501 56.552033) (xy 76.334357 56.552033) + (xy 76.35489 56.79984) (xy 76.354892 56.799852) (xy 76.415936 57.040909) (xy 76.515826 57.268634) + (xy 76.651833 57.47681) (xy 76.651836 57.476813) (xy 76.820256 57.659766) (xy 77.016491 57.812502) + (xy 77.23519 57.930856) (xy 77.470386 58.011599) (xy 77.715665 58.052528) (xy 77.964335 58.052528) + (xy 78.209614 58.011599) (xy 78.44481 57.930856) (xy 78.663509 57.812502) (xy 78.859744 57.659766) + (xy 79.028164 57.476813) (xy 79.164173 57.268635) (xy 79.264063 57.040909) (xy 79.325108 56.799849) + (xy 79.325109 56.79984) (xy 79.345643 56.552033) (xy 86.334357 56.552033) (xy 86.35489 56.79984) + (xy 86.354892 56.799852) (xy 86.415936 57.040909) (xy 86.515826 57.268634) (xy 86.651833 57.47681) + (xy 86.651836 57.476813) (xy 86.820256 57.659766) (xy 87.016491 57.812502) (xy 87.23519 57.930856) + (xy 87.470386 58.011599) (xy 87.715665 58.052528) (xy 87.964335 58.052528) (xy 88.209614 58.011599) + (xy 88.44481 57.930856) (xy 88.663509 57.812502) (xy 88.859744 57.659766) (xy 89.028164 57.476813) + (xy 89.164173 57.268635) (xy 89.264063 57.040909) (xy 89.325108 56.799849) (xy 89.325109 56.79984) + (xy 89.345643 56.552033) (xy 89.345643 56.552022) (xy 89.325109 56.304215) (xy 89.325107 56.304203) + (xy 89.264063 56.063146) (xy 89.164173 55.835421) (xy 89.028166 55.627245) (xy 88.966047 55.559766) + (xy 88.859744 55.44429) (xy 88.663509 55.291554) (xy 88.663507 55.291553) (xy 88.663506 55.291552) + (xy 88.444811 55.1732) (xy 88.444802 55.173197) (xy 88.209616 55.092457) (xy 87.964335 55.051528) + (xy 87.715665 55.051528) (xy 87.470383 55.092457) (xy 87.235197 55.173197) (xy 87.235188 55.1732) + (xy 87.016493 55.291552) (xy 86.820257 55.444289) (xy 86.651833 55.627245) (xy 86.515826 55.835421) + (xy 86.415936 56.063146) (xy 86.354892 56.304203) (xy 86.35489 56.304215) (xy 86.334357 56.552022) + (xy 86.334357 56.552033) (xy 79.345643 56.552033) (xy 79.345643 56.552022) (xy 79.325109 56.304215) + (xy 79.325107 56.304203) (xy 79.264063 56.063146) (xy 79.164173 55.835421) (xy 79.028166 55.627245) + (xy 78.966047 55.559766) (xy 78.859744 55.44429) (xy 78.663509 55.291554) (xy 78.663507 55.291553) + (xy 78.663506 55.291552) (xy 78.444811 55.1732) (xy 78.444802 55.173197) (xy 78.209616 55.092457) + (xy 77.964335 55.051528) (xy 77.715665 55.051528) (xy 77.470383 55.092457) (xy 77.235197 55.173197) + (xy 77.235188 55.1732) (xy 77.016493 55.291552) (xy 76.820257 55.444289) (xy 76.651833 55.627245) + (xy 76.515826 55.835421) (xy 76.415936 56.063146) (xy 76.354892 56.304203) (xy 76.35489 56.304215) + (xy 76.334357 56.552022) (xy 76.334357 56.552033) (xy 66.810501 56.552033) (xy 66.8105 54.452033) + (xy 81.334357 54.452033) (xy 81.35489 54.69984) (xy 81.354892 54.699852) (xy 81.415936 54.940909) + (xy 81.515826 55.168634) (xy 81.651833 55.37681) (xy 81.651836 55.376813) (xy 81.820256 55.559766) + (xy 82.016491 55.712502) (xy 82.23519 55.830856) (xy 82.470386 55.911599) (xy 82.715665 55.952528) + (xy 82.964335 55.952528) (xy 83.209614 55.911599) (xy 83.44481 55.830856) (xy 83.663509 55.712502) + (xy 83.859744 55.559766) (xy 84.028164 55.376813) (xy 84.164173 55.168635) (xy 84.264063 54.940909) + (xy 84.325108 54.699849) (xy 84.325691 54.692812) (xy 84.345643 54.452033) (xy 84.345643 54.452022) + (xy 84.325109 54.204215) (xy 84.325107 54.204203) (xy 84.264063 53.963146) (xy 84.164173 53.735421) + (xy 84.028166 53.527245) (xy 83.954479 53.4472) (xy 83.859744 53.34429) (xy 83.663509 53.191554) + (xy 83.663507 53.191553) (xy 83.663506 53.191552) (xy 83.444811 53.0732) (xy 83.444802 53.073197) + (xy 83.209616 52.992457) (xy 82.964335 52.951528) (xy 82.715665 52.951528) (xy 82.470383 52.992457) + (xy 82.235197 53.073197) (xy 82.235188 53.0732) (xy 82.016493 53.191552) (xy 81.820257 53.344289) + (xy 81.651833 53.527245) (xy 81.515826 53.735421) (xy 81.415936 53.963146) (xy 81.354892 54.204203) + (xy 81.35489 54.204215) (xy 81.334357 54.452022) (xy 81.334357 54.452033) (xy 66.8105 54.452033) + (xy 66.8105 53.857126) (xy 66.804092 53.797515) (xy 66.780932 53.735421) (xy 66.753798 53.662669) + (xy 66.753794 53.662662) (xy 66.667548 53.547453) (xy 66.667545 53.54745) (xy 66.552336 53.461204) + (xy 66.552329 53.4612) (xy 66.417483 53.410906) (xy 66.417484 53.410906) (xy 66.357884 53.404499) + (xy 66.357882 53.404498) (xy 66.357874 53.404498) (xy 66.357865 53.404498) (xy 62.66213 53.404498) + (xy 62.662124 53.404499) (xy 62.602517 53.410906) (xy 62.467672 53.4612) (xy 62.467665 53.461204) + (xy 62.352456 53.54745) (xy 62.352453 53.547453) (xy 62.266207 53.662662) (xy 62.266203 53.662669) + (xy 62.215909 53.797515) (xy 62.212012 53.833766) (xy 62.209502 53.857121) (xy 62.209501 53.857133) + (xy 62.209501 56.632868) (xy 61.019726 56.632868) (xy 61.010674 56.546743) (xy 60.952179 56.366715) + (xy 60.857533 56.202783) (xy 60.730871 56.062111) (xy 60.705273 56.043513) (xy 60.577734 55.95085) + (xy 60.577729 55.950847) (xy 60.404807 55.873856) (xy 60.404802 55.873854) (xy 60.259001 55.842864) + (xy 60.219646 55.834499) (xy 60.030354 55.834499) (xy 59.997897 55.841397) (xy 59.845197 55.873854) + (xy 59.845192 55.873856) (xy 59.67227 55.950847) (xy 59.672265 55.95085) (xy 59.519129 56.06211) + (xy 59.392466 56.202784) (xy 59.297821 56.366714) (xy 59.297818 56.366721) (xy 59.239327 56.546739) + (xy 59.239326 56.546743) (xy 59.21954 56.734999) (xy 59.235572 56.887541) (xy 59.223004 56.956268) + (xy 59.175272 57.007292) (xy 59.112252 57.0245) (xy 58.980354 57.0245) (xy 58.947897 57.031398) + (xy 58.795197 57.063855) (xy 58.795192 57.063857) (xy 58.62227 57.140848) (xy 58.622265 57.140851) + (xy 58.469129 57.252111) (xy 58.342466 57.392785) (xy 58.247821 57.556715) (xy 58.247818 57.556722) + (xy 58.206205 57.684796) (xy 58.189326 57.736744) (xy 58.16954 57.925) (xy 58.189326 58.113256) + (xy 58.189327 58.113259) (xy 58.247818 58.293277) (xy 58.247821 58.293284) (xy 58.342467 58.457216) + (xy 58.44265 58.56848) (xy 58.47288 58.631471) (xy 58.4745 58.651452) (xy 58.4745 58.761171) (xy 58.454815 58.82821) + (xy 58.402011 58.873965) (xy 58.332853 58.883909) (xy 58.32472 58.882461) (xy 58.169647 58.8495) + (xy 58.169646 58.8495) (xy 57.980354 58.8495) (xy 57.947897 58.856398) (xy 57.795197 58.888855) + (xy 57.795192 58.888857) (xy 57.62227 58.965848) (xy 57.622265 58.965851) (xy 57.469129 59.077111) + (xy 57.342466 59.217785) (xy 57.247821 59.381715) (xy 57.247818 59.381722) (xy 57.192397 59.552292) + (xy 57.189326 59.561744) (xy 57.16954 59.75) (xy 55.475 59.75) (xy 55.475 52.726033) (xy 99.559357 52.726033) + (xy 99.57989 52.97384) (xy 99.579892 52.973852) (xy 99.640936 53.214909) (xy 99.740826 53.442634) + (xy 99.876833 53.65081) (xy 99.876836 53.650813) (xy 100.045256 53.833766) (xy 100.241491 53.986502) + (xy 100.46019 54.104856) (xy 100.695386 54.185599) (xy 100.940665 54.226528) (xy 101.189335 54.226528) + (xy 101.434614 54.185599) (xy 101.66981 54.104856) (xy 101.888509 53.986502) (xy 102.084744 53.833766) + (xy 102.253164 53.650813) (xy 102.389173 53.442635) (xy 102.489063 53.214909) (xy 102.550108 52.973849) + (xy 102.554596 52.919688) (xy 102.570643 52.726033) (xy 102.570643 52.726022) (xy 102.550109 52.478215) + (xy 102.550107 52.478203) (xy 102.489063 52.237146) (xy 102.389173 52.009421) (xy 102.253166 51.801245) + (xy 102.231557 51.777772) (xy 102.084744 51.61829) (xy 101.888509 51.465554) (xy 101.888507 51.465553) + (xy 101.888506 51.465552) (xy 101.669811 51.3472) (xy 101.669802 51.347197) (xy 101.434616 51.266457) + (xy 101.189335 51.225528) (xy 100.940665 51.225528) (xy 100.695383 51.266457) (xy 100.460197 51.347197) + (xy 100.460188 51.3472) (xy 100.241493 51.465552) (xy 100.045257 51.618289) (xy 99.876833 51.801245) + (xy 99.740826 52.009421) (xy 99.640936 52.237146) (xy 99.579892 52.478203) (xy 99.57989 52.478215) + (xy 99.559357 52.726022) (xy 99.559357 52.726033) (xy 55.475 52.726033) (xy 55.475 49.039741) (xy 57.5995 49.039741) + (xy 57.620666 49.200501) (xy 57.629452 49.267238) (xy 57.680968 49.459499) (xy 57.688842 49.488887) + (xy 57.77665 49.700876) (xy 57.776657 49.70089) (xy 57.891392 49.899617) (xy 58.031081 50.081661) + (xy 58.031089 50.08167) (xy 58.19333 50.243911) (xy 58.193338 50.243918) (xy 58.193339 50.243919) + (xy 58.226334 50.269237) (xy 58.375382 50.383607) (xy 58.375385 50.383608) (xy 58.375388 50.383611) + (xy 58.574112 50.498344) (xy 58.574117 50.498346) (xy 58.574123 50.498349) (xy 58.638231 50.524903) + (xy 58.786113 50.586158) (xy 59.007762 50.645548) (xy 59.235266 50.6755) (xy 59.235273 50.6755) + (xy 59.464727 50.6755) (xy 59.464734 50.6755) (xy 59.692238 50.645548) (xy 59.913887 50.586158) + (xy 60.125888 50.498344) (xy 60.324612 50.383611) (xy 60.506661 50.243919) (xy 60.506665 50.243914) + (xy 60.50667 50.243911) (xy 60.668911 50.08167) (xy 60.668914 50.081665) (xy 60.668919 50.081661) + (xy 60.808611 49.899612) (xy 60.923344 49.700888) (xy 61.011158 49.488887) (xy 61.070548 49.267238) + (xy 61.1005 49.039734) (xy 61.1005 48.810266) (xy 61.070548 48.582762) (xy 61.011158 48.361113) + (xy 60.923344 48.149112) (xy 60.808611 47.950388) (xy 60.808608 47.950385) (xy 60.808607 47.950382) + (xy 60.668918 47.768338) (xy 60.668911 47.76833) (xy 60.50667 47.606089) (xy 60.506661 47.606081) + (xy 60.324617 47.466392) (xy 60.12589 47.351657) (xy 60.125876 47.35165) (xy 59.913887 47.263842) + (xy 59.692238 47.204452) (xy 59.654215 47.199446) (xy 59.464741 47.1745) (xy 59.464734 47.1745) + (xy 59.235266 47.1745) (xy 59.235258 47.1745) (xy 59.018715 47.203009) (xy 59.007762 47.204452) + (xy 58.914076 47.229554) (xy 58.786112 47.263842) (xy 58.574123 47.35165) (xy 58.574109 47.351657) + (xy 58.375382 47.466392) (xy 58.193338 47.606081) (xy 58.031081 47.768338) (xy 57.891392 47.950382) + (xy 57.776657 48.149109) (xy 57.77665 48.149123) (xy 57.688842 48.361112) (xy 57.629453 48.582759) + (xy 57.629451 48.58277) (xy 57.5995 48.810258) (xy 57.5995 49.039741) (xy 55.475 49.039741) (xy 55.475 48.207813) + (xy 55.475012 48.206058) (xy 55.476264 48.117634) (xy 55.477392 48.037958) (xy 55.478222 48.025293) + (xy 55.517505 47.690583) (xy 55.520027 47.676343) (xy 55.597849 47.349369) (xy 55.602017 47.33551) + (xy 55.614221 47.30213) (xy 55.717417 47.01985) (xy 55.723172 47.006568) (xy 55.730293 46.992456) + (xy 55.874591 46.706489) (xy 55.881847 46.693984) (xy 56.067208 46.413608) (xy 56.075875 46.40203) + (xy 56.29266 46.145166) (xy 56.30262 46.134675) (xy 56.332889 46.106308) (xy 56.547859 45.904841) + (xy 56.558976 45.895579) (xy 56.829337 45.695895) (xy 56.841451 45.687997) (xy 57.13326 45.521179) + (xy 57.146197 45.514755) (xy 57.455458 45.383092) (xy 57.469068 45.378215) (xy 57.792422 45.283247) + (xy 57.804772 45.2803) (xy 57.970133 45.249704) (xy 57.971612 45.24944) (xy 76.888329 42.025) (xy 107.969464 42.025) + (xy 107.989564 42.241918) (xy 107.989564 42.24192) (xy 107.989565 42.241923) (xy 108.020122 42.349319) + (xy 108.049184 42.451462) (xy 108.090533 42.534501) (xy 108.146288 42.646472) (xy 108.277573 42.820322) + (xy 108.401576 42.933365) (xy 108.437855 42.993073) (xy 108.436095 43.062921) (xy 108.401574 43.116637) + (xy 108.277575 43.229676) (xy 108.146289 43.403528) (xy 108.049185 43.598538) (xy 107.989565 43.808082) + (xy 107.969465 44.025) (xy 107.969465 44.025001) (xy 107.989565 44.241919) (xy 107.989565 44.241921) + (xy 107.989566 44.241924) (xy 108.025698 44.368915) (xy 108.049185 44.451463) (xy 108.146289 44.646473) + (xy 108.277575 44.820325) (xy 108.401573 44.933364) (xy 108.437855 44.993075) (xy 108.436094 45.062923) + (xy 108.401573 45.116638) (xy 108.277575 45.229676) (xy 108.146289 45.403528) (xy 108.049185 45.598538) + (xy 107.989565 45.808082) (xy 107.969465 46.025) (xy 107.969465 46.025001) (xy 107.989565 46.241919) + (xy 107.989565 46.241921) (xy 107.989566 46.241924) (xy 108.049184 46.45146) (xy 108.146289 46.646473) + (xy 108.215522 46.738153) (xy 108.227748 46.754342) (xy 108.25244 46.819703) (xy 108.237875 46.888038) + (xy 108.203106 46.928335) (xy 108.117453 46.992456) (xy 108.031207 47.107665) (xy 108.031203 47.107672) + (xy 107.980909 47.242518) (xy 107.975517 47.292674) (xy 107.974502 47.302124) (xy 107.974501 47.302136) + (xy 107.974501 48.747871) (xy 107.974502 48.747877) (xy 107.980909 48.807484) (xy 108.031203 48.942329) + (xy 108.031207 48.942336) (xy 108.117453 49.057545) (xy 108.117456 49.057548) (xy 108.232665 49.143794) + (xy 108.232672 49.143798) (xy 108.367518 49.194092) (xy 108.367517 49.194092) (xy 108.374445 49.194836) + (xy 108.427128 49.200501) (xy 109.872873 49.2005) (xy 109.932484 49.194092) (xy 110.067332 49.143797) + (xy 110.182547 49.057547) (xy 110.268797 48.942332) (xy 110.319092 48.807484) (xy 110.325501 48.747874) + (xy 110.3255 47.401033) (xy 117.834357 47.401033) (xy 117.85489 47.64884) (xy 117.854892 47.648852) + (xy 117.915936 47.889909) (xy 118.015826 48.117634) (xy 118.151833 48.32581) (xy 118.151836 48.325813) + (xy 118.320256 48.508766) (xy 118.516491 48.661502) (xy 118.73519 48.779856) (xy 118.970386 48.860599) + (xy 119.215665 48.901528) (xy 119.464335 48.901528) (xy 119.709614 48.860599) (xy 119.94481 48.779856) + (xy 120.163509 48.661502) (xy 120.359744 48.508766) (xy 120.528164 48.325813) (xy 120.664173 48.117635) + (xy 120.764063 47.889909) (xy 120.825108 47.648849) (xy 120.838508 47.487138) (xy 120.845643 47.401033) + (xy 120.845643 47.401022) (xy 120.825109 47.153215) (xy 120.825107 47.153203) (xy 120.764063 46.912146) + (xy 120.664173 46.684421) (xy 120.528166 46.476245) (xy 120.459846 46.40203) (xy 120.359744 46.29329) + (xy 120.163509 46.140554) (xy 120.163507 46.140553) (xy 120.163506 46.140552) (xy 119.944811 46.0222) + (xy 119.944802 46.022197) (xy 119.709616 45.941457) (xy 119.464335 45.900528) (xy 119.215665 45.900528) + (xy 118.970383 45.941457) (xy 118.735197 46.022197) (xy 118.735188 46.0222) (xy 118.516493 46.140552) + (xy 118.320257 46.293289) (xy 118.151833 46.476245) (xy 118.015826 46.684421) (xy 117.915936 46.912146) + (xy 117.854892 47.153203) (xy 117.85489 47.153215) (xy 117.834357 47.401022) (xy 117.834357 47.401033) + (xy 110.3255 47.401033) (xy 110.3255 47.302129) (xy 110.319092 47.242518) (xy 110.304894 47.204452) + (xy 110.268798 47.107672) (xy 110.268794 47.107665) (xy 110.182548 46.992456) (xy 110.182545 46.992453) + (xy 110.096896 46.928336) (xy 110.055025 46.872403) (xy 110.050041 46.802711) (xy 110.072252 46.754345) + (xy 110.153713 46.646473) (xy 110.250818 46.45146) (xy 110.310436 46.241924) (xy 110.330537 46.025001) + (xy 110.330277 46.0222) (xy 110.316637 45.875) (xy 110.310436 45.808078) (xy 110.250818 45.598542) + (xy 110.153713 45.403529) (xy 110.022428 45.229679) (xy 109.898424 45.116635) (xy 109.862146 45.056928) + (xy 109.863906 44.98708) (xy 109.898424 44.933366) (xy 110.022428 44.820323) (xy 110.153713 44.646473) + (xy 110.250818 44.45146) (xy 110.310436 44.241924) (xy 110.330537 44.025001) (xy 110.310436 43.808078) + (xy 110.250818 43.598542) (xy 110.153713 43.403529) (xy 110.022428 43.229679) (xy 110.022426 43.229676) + (xy 109.898426 43.116636) (xy 109.862144 43.056925) (xy 109.863905 42.987078) (xy 109.871667 42.975) + (xy 122.19454 42.975) (xy 122.214326 43.163256) (xy 122.214327 43.163259) (xy 122.272818 43.343277) + (xy 122.272821 43.343284) (xy 122.367467 43.507216) (xy 122.420674 43.566308) (xy 122.494129 43.647888) + (xy 122.647265 43.759148) (xy 122.64727 43.759151) (xy 122.820192 43.836142) (xy 122.820197 43.836144) + (xy 123.005354 43.8755) (xy 123.005355 43.8755) (xy 123.194644 43.8755) (xy 123.194646 43.8755) + (xy 123.379803 43.836144) (xy 123.55273 43.759151) (xy 123.705871 43.647888) (xy 123.832533 43.507216) + (xy 123.927179 43.343284) (xy 123.976328 43.192019) (xy 124.006575 43.142659) (xy 124.799917 42.349319) + (xy 124.86124 42.315834) (xy 124.887598 42.313) (xy 125.007144 42.313) (xy 125.007146 42.313) (xy 125.192303 42.273644) + (xy 125.36523 42.196651) (xy 125.518371 42.085388) (xy 125.645033 41.944716) (xy 125.739679 41.780784) + (xy 125.798174 41.600756) (xy 125.81796 41.4125) (xy 125.798174 41.224244) (xy 125.739679 41.044216) + (xy 125.645033 40.880284) (xy 125.518371 40.739612) (xy 125.51837 40.739611) (xy 125.365234 40.628351) + (xy 125.365229 40.628348) (xy 125.192307 40.551357) (xy 125.192302 40.551355) (xy 125.046501 40.520365) + (xy 125.007146 40.512) (xy 124.817854 40.512) (xy 124.785397 40.518898) (xy 124.632697 40.551355) + (xy 124.632692 40.551357) (xy 124.45977 40.628348) (xy 124.459765 40.628351) (xy 124.306629 40.739611) + (xy 124.179966 40.880285) (xy 124.085321 41.044215) (xy 124.085318 41.044222) (xy 124.026827 41.22424) + (xy 124.026826 41.224244) (xy 124.007983 41.403527) (xy 124.007963 41.40372) (xy 123.981378 41.468334) + (xy 123.972323 41.478439) (xy 123.392033 42.058729) (xy 123.33071 42.092214) (xy 123.278571 42.092338) + (xy 123.19465 42.0745) (xy 123.194646 42.0745) (xy 123.005354 42.0745) (xy 122.972897 42.081398) + (xy 122.820197 42.113855) (xy 122.820192 42.113857) (xy 122.64727 42.190848) (xy 122.647265 42.190851) + (xy 122.494129 42.302111) (xy 122.367466 42.442785) (xy 122.272821 42.606715) (xy 122.272818 42.606722) + (xy 122.249836 42.677455) (xy 122.214326 42.786744) (xy 122.19454 42.975) (xy 109.871667 42.975) + (xy 109.898424 42.933365) (xy 110.022427 42.820322) (xy 110.153712 42.646472) (xy 110.250817 42.451459) + (xy 110.310435 42.241923) (xy 110.330536 42.025) (xy 110.310435 41.808077) (xy 110.250817 41.598541) + (xy 110.153712 41.403528) (xy 110.022427 41.229678) (xy 109.861432 41.082912) (xy 109.861428 41.082909) + (xy 109.861423 41.082906) (xy 109.676213 40.968229) (xy 109.676207 40.968226) (xy 109.591113 40.93526) + (xy 109.473069 40.88953) (xy 109.258926 40.8495) (xy 109.041074 40.8495) (xy 108.826931 40.88953) + (xy 108.77813 40.908435) (xy 108.623792 40.968226) (xy 108.623786 40.968229) (xy 108.438576 41.082906) + (xy 108.438566 41.082913) (xy 108.277574 41.229676) (xy 108.146288 41.403527) (xy 108.049184 41.598537) + (xy 107.989564 41.808081) (xy 107.969464 42.024999) (xy 107.969464 42.025) (xy 76.888329 42.025) + (xy 99.005629 38.255006) (xy 128.8147 38.255006) (xy 128.833864 38.486297) (xy 128.833866 38.486308) + (xy 128.890842 38.7113) (xy 128.984075 38.923848) (xy 129.111016 39.118147) (xy 129.111019 39.118151) + (xy 129.111021 39.118153) (xy 129.268216 39.288913) (xy 129.268219 39.288915) (xy 129.268222 39.288918) + (xy 129.451365 39.431464) (xy 129.451371 39.431468) (xy 129.451374 39.43147) (xy 129.655497 39.541936) + (xy 129.72601 39.566143) (xy 129.875015 39.617297) (xy 129.875017 39.617297) (xy 129.875019 39.617298) + (xy 130.103951 39.6555) (xy 130.103952 39.6555) (xy 130.336048 39.6555) (xy 130.336049 39.6555) + (xy 130.564981 39.617298) (xy 130.784503 39.541936) (xy 130.988626 39.43147) (xy 130.994182 39.427146) + (xy 131.074659 39.364508) (xy 131.171784 39.288913) (xy 131.328979 39.118153) (xy 131.386191 39.030582) + (xy 131.439337 38.985226) (xy 131.508568 38.975802) (xy 131.571904 39.005304) (xy 131.593809 39.030583) + (xy 131.651016 39.118147) (xy 131.651019 39.118151) (xy 131.651021 39.118153) (xy 131.808216 39.288913) + (xy 131.808219 39.288915) (xy 131.808222 39.288918) (xy 131.991365 39.431464) (xy 131.991371 39.431468) + (xy 131.991374 39.43147) (xy 132.195497 39.541936) (xy 132.26601 39.566143) (xy 132.415015 39.617297) + (xy 132.415017 39.617297) (xy 132.415019 39.617298) (xy 132.643951 39.6555) (xy 132.643952 39.6555) + (xy 132.876048 39.6555) (xy 132.876049 39.6555) (xy 133.104981 39.617298) (xy 133.324503 39.541936) + (xy 133.528626 39.43147) (xy 133.534182 39.427146) (xy 133.614659 39.364508) (xy 133.711784 39.288913) + (xy 133.868979 39.118153) (xy 133.926191 39.030582) (xy 133.979337 38.985226) (xy 134.048568 38.975802) + (xy 134.111904 39.005304) (xy 134.133809 39.030583) (xy 134.191016 39.118147) (xy 134.191019 39.118151) + (xy 134.191021 39.118153) (xy 134.348216 39.288913) (xy 134.348219 39.288915) (xy 134.348222 39.288918) + (xy 134.525818 39.427147) (xy 134.566631 39.483857) (xy 134.570306 39.55363) (xy 134.535674 39.614313) + (xy 134.525818 39.622853) (xy 134.348222 39.761081) (xy 134.348219 39.761084) (xy 134.191016 39.931852) + (xy 134.064075 40.126151) (xy 133.970842 40.338699) (xy 133.913866 40.563691) (xy 133.913864 40.563702) + (xy 133.8947 40.794993) (xy 133.8947 40.795006) (xy 133.913864 41.026297) (xy 133.913866 41.026308) + (xy 133.970842 41.2513) (xy 134.064075 41.463848) (xy 134.191016 41.658147) (xy 134.191019 41.658151) + (xy 134.191021 41.658153) (xy 134.348216 41.828913) (xy 134.348219 41.828915) (xy 134.348222 41.828918) + (xy 134.525818 41.967147) (xy 134.566631 42.023857) (xy 134.570306 42.09363) (xy 134.535674 42.154313) + (xy 134.525818 42.162853) (xy 134.348222 42.301081) (xy 134.348219 42.301084) (xy 134.348216 42.301086) + (xy 134.348216 42.301087) (xy 134.303816 42.349319) (xy 134.191016 42.471852) (xy 134.064075 42.666151) + (xy 133.970842 42.878699) (xy 133.913866 43.103691) (xy 133.913864 43.103702) (xy 133.8947 43.334993) + (xy 133.8947 43.335006) (xy 133.913864 43.566297) (xy 133.913866 43.566308) (xy 133.970842 43.7913) + (xy 134.064075 44.003848) (xy 134.191016 44.198147) (xy 134.191019 44.198151) (xy 134.191021 44.198153) + (xy 134.348216 44.368913) (xy 134.348219 44.368915) (xy 134.348222 44.368918) (xy 134.525818 44.507147) + (xy 134.566631 44.563857) (xy 134.570306 44.63363) (xy 134.535674 44.694313) (xy 134.525818 44.702853) + (xy 134.348222 44.841081) (xy 134.348219 44.841084) (xy 134.191016 45.011852) (xy 134.064075 45.206151) + (xy 133.970842 45.418699) (xy 133.913866 45.643691) (xy 133.913864 45.643702) (xy 133.8947 45.874993) + (xy 133.8947 45.875006) (xy 133.913864 46.106297) (xy 133.913866 46.106308) (xy 133.970842 46.3313) + (xy 134.064075 46.543848) (xy 134.191016 46.738147) (xy 134.191019 46.738151) (xy 134.191021 46.738153) + (xy 134.348216 46.908913) (xy 134.348219 46.908915) (xy 134.348222 46.908918) (xy 134.531365 47.051464) + (xy 134.531371 47.051468) (xy 134.531374 47.05147) (xy 134.735497 47.161936) (xy 134.849487 47.201068) + (xy 134.955015 47.237297) (xy 134.955017 47.237297) (xy 134.955019 47.237298) (xy 135.183951 47.2755) + (xy 135.183952 47.2755) (xy 135.416048 47.2755) (xy 135.416049 47.2755) (xy 135.644981 47.237298) + (xy 135.864503 47.161936) (xy 136.068626 47.05147) (xy 136.094067 47.031669) (xy 136.137092 46.998181) + (xy 136.251784 46.908913) (xy 136.408979 46.738153) (xy 136.535924 46.543849) (xy 136.629157 46.3313) + (xy 136.686134 46.106305) (xy 136.693103 46.0222) (xy 136.7053 45.875006) (xy 136.7053 45.874993) + (xy 136.686135 45.643702) (xy 136.686133 45.643691) (xy 136.629157 45.418699) (xy 136.535924 45.206151) + (xy 136.408983 45.011852) (xy 136.40898 45.011849) (xy 136.408979 45.011847) (xy 136.251784 44.841087) + (xy 136.07418 44.702853) (xy 136.033368 44.646143) (xy 136.029693 44.57637) (xy 136.064324 44.515687) + (xy 136.074181 44.507146) (xy 136.077176 44.504815) (xy 136.251784 44.368913) (xy 136.408979 44.198153) + (xy 136.535924 44.003849) (xy 136.629157 43.7913) (xy 136.686134 43.566305) (xy 136.686135 43.566297) + (xy 136.7053 43.335006) (xy 136.7053 43.334993) (xy 136.686135 43.103702) (xy 136.686133 43.103691) + (xy 136.629157 42.878699) (xy 136.535924 42.666151) (xy 136.408983 42.471852) (xy 136.40898 42.471849) + (xy 136.408979 42.471847) (xy 136.251784 42.301087) (xy 136.07418 42.162853) (xy 136.033368 42.106143) + (xy 136.029693 42.03637) (xy 136.064324 41.975687) (xy 136.074181 41.967146) (xy 136.251784 41.828913) + (xy 136.408979 41.658153) (xy 136.535924 41.463849) (xy 136.629157 41.2513) (xy 136.686134 41.026305) + (xy 136.686135 41.026297) (xy 136.7053 40.795006) (xy 136.7053 40.794993) (xy 136.686135 40.563702) + (xy 136.686133 40.563691) (xy 136.629157 40.338699) (xy 136.535924 40.126151) (xy 136.408983 39.931852) + (xy 136.40898 39.931849) (xy 136.408979 39.931847) (xy 136.251784 39.761087) (xy 136.07418 39.622853) + (xy 136.033368 39.566143) (xy 136.029693 39.49637) (xy 136.064324 39.435687) (xy 136.074181 39.427146) + (xy 136.251784 39.288913) (xy 136.408979 39.118153) (xy 136.466191 39.030582) (xy 136.519337 38.985226) + (xy 136.588568 38.975802) (xy 136.651904 39.005304) (xy 136.673809 39.030583) (xy 136.731016 39.118147) + (xy 136.731019 39.118151) (xy 136.731021 39.118153) (xy 136.888216 39.288913) (xy 136.888219 39.288915) + (xy 136.888222 39.288918) (xy 137.071365 39.431464) (xy 137.071371 39.431468) (xy 137.071374 39.43147) + (xy 137.275497 39.541936) (xy 137.34601 39.566143) (xy 137.495015 39.617297) (xy 137.495017 39.617297) + (xy 137.495019 39.617298) (xy 137.723951 39.6555) (xy 137.723952 39.6555) (xy 137.956048 39.6555) + (xy 137.956049 39.6555) (xy 138.184981 39.617298) (xy 138.404503 39.541936) (xy 138.608626 39.43147) + (xy 138.614182 39.427146) (xy 138.694659 39.364508) (xy 138.791784 39.288913) (xy 138.948979 39.118153) + (xy 139.006191 39.030582) (xy 139.059337 38.985226) (xy 139.128568 38.975802) (xy 139.191904 39.005304) + (xy 139.213809 39.030583) (xy 139.271016 39.118147) (xy 139.271019 39.118151) (xy 139.271021 39.118153) + (xy 139.428216 39.288913) (xy 139.428219 39.288915) (xy 139.428222 39.288918) (xy 139.611365 39.431464) + (xy 139.611371 39.431468) (xy 139.611374 39.43147) (xy 139.815497 39.541936) (xy 139.88601 39.566143) + (xy 140.035015 39.617297) (xy 140.035017 39.617297) (xy 140.035019 39.617298) (xy 140.263951 39.6555) + (xy 140.263952 39.6555) (xy 140.496048 39.6555) (xy 140.496049 39.6555) (xy 140.724981 39.617298) + (xy 140.944503 39.541936) (xy 141.148626 39.43147) (xy 141.154182 39.427146) (xy 141.234659 39.364508) + (xy 141.331784 39.288913) (xy 141.488979 39.118153) (xy 141.546191 39.030582) (xy 141.599337 38.985226) + (xy 141.668568 38.975802) (xy 141.731904 39.005304) (xy 141.753809 39.030583) (xy 141.811016 39.118147) + (xy 141.811019 39.118151) (xy 141.811021 39.118153) (xy 141.968216 39.288913) (xy 141.968219 39.288915) + (xy 141.968222 39.288918) (xy 142.151365 39.431464) (xy 142.151371 39.431468) (xy 142.151374 39.43147) + (xy 142.355497 39.541936) (xy 142.42601 39.566143) (xy 142.575015 39.617297) (xy 142.575017 39.617297) + (xy 142.575019 39.617298) (xy 142.803951 39.6555) (xy 142.803952 39.6555) (xy 143.036048 39.6555) + (xy 143.036049 39.6555) (xy 143.264981 39.617298) (xy 143.484503 39.541936) (xy 143.688626 39.43147) + (xy 143.694182 39.427146) (xy 143.774659 39.364508) (xy 143.871784 39.288913) (xy 144.028979 39.118153) + (xy 144.086191 39.030582) (xy 144.139337 38.985226) (xy 144.208568 38.975802) (xy 144.271904 39.005304) + (xy 144.293809 39.030583) (xy 144.351016 39.118147) (xy 144.351019 39.118151) (xy 144.351021 39.118153) + (xy 144.508216 39.288913) (xy 144.508219 39.288915) (xy 144.508222 39.288918) (xy 144.691365 39.431464) + (xy 144.691371 39.431468) (xy 144.691374 39.43147) (xy 144.895497 39.541936) (xy 144.96601 39.566143) + (xy 145.115015 39.617297) (xy 145.115017 39.617297) (xy 145.115019 39.617298) (xy 145.343951 39.6555) + (xy 145.343952 39.6555) (xy 145.576048 39.6555) (xy 145.576049 39.6555) (xy 145.804981 39.617298) + (xy 146.024503 39.541936) (xy 146.228626 39.43147) (xy 146.234182 39.427146) (xy 146.314659 39.364508) + (xy 146.411784 39.288913) (xy 146.568979 39.118153) (xy 146.626191 39.030582) (xy 146.679337 38.985226) + (xy 146.748568 38.975802) (xy 146.811904 39.005304) (xy 146.833809 39.030583) (xy 146.891016 39.118147) + (xy 146.891019 39.118151) (xy 146.891021 39.118153) (xy 147.048216 39.288913) (xy 147.048219 39.288915) + (xy 147.048222 39.288918) (xy 147.231365 39.431464) (xy 147.231371 39.431468) (xy 147.231374 39.43147) + (xy 147.435497 39.541936) (xy 147.50601 39.566143) (xy 147.655015 39.617297) (xy 147.655017 39.617297) + (xy 147.655019 39.617298) (xy 147.883951 39.6555) (xy 147.883952 39.6555) (xy 148.116048 39.6555) + (xy 148.116049 39.6555) (xy 148.344981 39.617298) (xy 148.564503 39.541936) (xy 148.768626 39.43147) + (xy 148.774182 39.427146) (xy 148.854659 39.364508) (xy 148.951784 39.288913) (xy 149.108979 39.118153) + (xy 149.235924 38.923849) (xy 149.329157 38.7113) (xy 149.386134 38.486305) (xy 149.4053 38.255) + (xy 149.4053 38.254993) (xy 149.386135 38.023702) (xy 149.386133 38.023691) (xy 149.329157 37.798699) + (xy 149.235924 37.586151) (xy 149.108983 37.391852) (xy 149.10898 37.391849) (xy 149.108979 37.391847) + (xy 148.951784 37.221087) (xy 148.951779 37.221083) (xy 148.951777 37.221081) (xy 148.768634 37.078535) + (xy 148.768628 37.078531) (xy 148.564504 36.968064) (xy 148.564495 36.968061) (xy 148.344984 36.892702) + (xy 148.173282 36.86405) (xy 148.116049 36.8545) (xy 147.883951 36.8545) (xy 147.838164 36.86214) + (xy 147.655015 36.892702) (xy 147.435504 36.968061) (xy 147.435495 36.968064) (xy 147.231371 37.078531) + (xy 147.231365 37.078535) (xy 147.048222 37.221081) (xy 147.048219 37.221084) (xy 146.891016 37.391852) + (xy 146.833809 37.479416) (xy 146.780662 37.524773) (xy 146.711431 37.534197) (xy 146.648095 37.504695) + (xy 146.626191 37.479416) (xy 146.568983 37.391852) (xy 146.56898 37.391849) (xy 146.568979 37.391847) + (xy 146.411784 37.221087) (xy 146.411779 37.221083) (xy 146.411777 37.221081) (xy 146.228634 37.078535) + (xy 146.228628 37.078531) (xy 146.024504 36.968064) (xy 146.024495 36.968061) (xy 145.804984 36.892702) + (xy 145.633282 36.86405) (xy 145.576049 36.8545) (xy 145.343951 36.8545) (xy 145.298164 36.86214) + (xy 145.115015 36.892702) (xy 144.895504 36.968061) (xy 144.895495 36.968064) (xy 144.691371 37.078531) + (xy 144.691365 37.078535) (xy 144.508222 37.221081) (xy 144.508219 37.221084) (xy 144.351016 37.391852) + (xy 144.293809 37.479416) (xy 144.240662 37.524773) (xy 144.171431 37.534197) (xy 144.108095 37.504695) + (xy 144.086191 37.479416) (xy 144.028983 37.391852) (xy 144.02898 37.391849) (xy 144.028979 37.391847) + (xy 143.871784 37.221087) (xy 143.871779 37.221083) (xy 143.871777 37.221081) (xy 143.688634 37.078535) + (xy 143.688628 37.078531) (xy 143.484504 36.968064) (xy 143.484495 36.968061) (xy 143.264984 36.892702) + (xy 143.093282 36.86405) (xy 143.036049 36.8545) (xy 142.803951 36.8545) (xy 142.758164 36.86214) + (xy 142.575015 36.892702) (xy 142.355504 36.968061) (xy 142.355495 36.968064) (xy 142.151371 37.078531) + (xy 142.151365 37.078535) (xy 141.968222 37.221081) (xy 141.968219 37.221084) (xy 141.811016 37.391852) + (xy 141.753809 37.479416) (xy 141.700662 37.524773) (xy 141.631431 37.534197) (xy 141.568095 37.504695) + (xy 141.546191 37.479416) (xy 141.488983 37.391852) (xy 141.48898 37.391849) (xy 141.488979 37.391847) + (xy 141.331784 37.221087) (xy 141.331779 37.221083) (xy 141.331777 37.221081) (xy 141.148634 37.078535) + (xy 141.148628 37.078531) (xy 140.944504 36.968064) (xy 140.944495 36.968061) (xy 140.724984 36.892702) + (xy 140.553282 36.86405) (xy 140.496049 36.8545) (xy 140.263951 36.8545) (xy 140.218164 36.86214) + (xy 140.035015 36.892702) (xy 139.815504 36.968061) (xy 139.815495 36.968064) (xy 139.611371 37.078531) + (xy 139.611365 37.078535) (xy 139.428222 37.221081) (xy 139.428219 37.221084) (xy 139.271016 37.391852) + (xy 139.213809 37.479416) (xy 139.160662 37.524773) (xy 139.091431 37.534197) (xy 139.028095 37.504695) + (xy 139.006191 37.479416) (xy 138.948983 37.391852) (xy 138.94898 37.391849) (xy 138.948979 37.391847) + (xy 138.791784 37.221087) (xy 138.791779 37.221083) (xy 138.791777 37.221081) (xy 138.608634 37.078535) + (xy 138.608628 37.078531) (xy 138.404504 36.968064) (xy 138.404495 36.968061) (xy 138.184984 36.892702) + (xy 138.013282 36.86405) (xy 137.956049 36.8545) (xy 137.723951 36.8545) (xy 137.678164 36.86214) + (xy 137.495015 36.892702) (xy 137.275504 36.968061) (xy 137.275495 36.968064) (xy 137.071371 37.078531) + (xy 137.071365 37.078535) (xy 136.888222 37.221081) (xy 136.888219 37.221084) (xy 136.731016 37.391852) + (xy 136.673809 37.479416) (xy 136.620662 37.524773) (xy 136.551431 37.534197) (xy 136.488095 37.504695) + (xy 136.466191 37.479416) (xy 136.408983 37.391852) (xy 136.40898 37.391849) (xy 136.408979 37.391847) + (xy 136.251784 37.221087) (xy 136.251779 37.221083) (xy 136.251777 37.221081) (xy 136.068634 37.078535) + (xy 136.068628 37.078531) (xy 135.864504 36.968064) (xy 135.864495 36.968061) (xy 135.644984 36.892702) + (xy 135.473282 36.86405) (xy 135.416049 36.8545) (xy 135.183951 36.8545) (xy 135.138164 36.86214) + (xy 134.955015 36.892702) (xy 134.735504 36.968061) (xy 134.735495 36.968064) (xy 134.531371 37.078531) + (xy 134.531365 37.078535) (xy 134.348222 37.221081) (xy 134.348219 37.221084) (xy 134.191016 37.391852) + (xy 134.133809 37.479416) (xy 134.080662 37.524773) (xy 134.011431 37.534197) (xy 133.948095 37.504695) + (xy 133.926191 37.479416) (xy 133.868983 37.391852) (xy 133.86898 37.391849) (xy 133.868979 37.391847) + (xy 133.711784 37.221087) (xy 133.711779 37.221083) (xy 133.711777 37.221081) (xy 133.528634 37.078535) + (xy 133.528628 37.078531) (xy 133.324504 36.968064) (xy 133.324495 36.968061) (xy 133.104984 36.892702) + (xy 132.933282 36.86405) (xy 132.876049 36.8545) (xy 132.643951 36.8545) (xy 132.598164 36.86214) + (xy 132.415015 36.892702) (xy 132.195504 36.968061) (xy 132.195495 36.968064) (xy 131.991371 37.078531) + (xy 131.991365 37.078535) (xy 131.808222 37.221081) (xy 131.808219 37.221084) (xy 131.651016 37.391852) + (xy 131.593809 37.479416) (xy 131.540662 37.524773) (xy 131.471431 37.534197) (xy 131.408095 37.504695) + (xy 131.386191 37.479416) (xy 131.328983 37.391852) (xy 131.32898 37.391849) (xy 131.328979 37.391847) + (xy 131.171784 37.221087) (xy 131.171779 37.221083) (xy 131.171777 37.221081) (xy 130.988634 37.078535) + (xy 130.988628 37.078531) (xy 130.784504 36.968064) (xy 130.784495 36.968061) (xy 130.564984 36.892702) + (xy 130.393282 36.86405) (xy 130.336049 36.8545) (xy 130.103951 36.8545) (xy 130.058164 36.86214) + (xy 129.875015 36.892702) (xy 129.655504 36.968061) (xy 129.655495 36.968064) (xy 129.451371 37.078531) + (xy 129.451365 37.078535) (xy 129.268222 37.221081) (xy 129.268219 37.221084) (xy 129.111016 37.391852) + (xy 128.984075 37.586151) (xy 128.890842 37.798699) (xy 128.833866 38.023691) (xy 128.833864 38.023702) + (xy 128.8147 38.254993) (xy 128.8147 38.255006) (xy 99.005629 38.255006) (xy 121.223355 34.467894) + (xy 121.224357 34.467728) (xy 121.344841 34.448489) (xy 121.353959 34.447379) (xy 121.598087 34.426792) + (xy 121.607246 34.426361) (xy 121.729188 34.425159) (xy 121.730334 34.425154) + ) + ) + ) +) \ No newline at end of file diff --git a/assets/pcb/anglerfish.kicad_prl b/assets/pcb/anglerfish.kicad_prl new file mode 100644 index 0000000..46311ac --- /dev/null +++ b/assets/pcb/anglerfish.kicad_prl @@ -0,0 +1,83 @@ +{ + "board": { + "active_layer": 0, + "active_layer_preset": "", + "auto_track_width": true, + "hidden_netclasses": [], + "hidden_nets": [], + "high_contrast_mode": 0, + "net_color_mode": 1, + "opacity": { + "images": 0.6, + "pads": 1.0, + "tracks": 1.0, + "vias": 1.0, + "zones": 0.6 + }, + "selection_filter": { + "dimensions": true, + "footprints": true, + "graphics": true, + "keepouts": true, + "lockedItems": false, + "otherItems": true, + "pads": true, + "text": true, + "tracks": true, + "vias": true, + "zones": true + }, + "visible_items": [ + 0, + 1, + 2, + 3, + 4, + 5, + 8, + 9, + 10, + 11, + 12, + 13, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 32, + 33, + 34, + 35, + 36, + 39, + 40 + ], + "visible_layers": "000b000_00000001", + "zone_display_mode": 1 + }, + "git": { + "repo_password": "", + "repo_type": "", + "repo_username": "", + "ssh_key": "" + }, + "meta": { + "filename": "filli.kicad_prl", + "version": 3 + }, + "project": { + "files": [] + } +} diff --git a/assets/pcb/anglerfish.kicad_pro b/assets/pcb/anglerfish.kicad_pro new file mode 100644 index 0000000..a76d890 --- /dev/null +++ b/assets/pcb/anglerfish.kicad_pro @@ -0,0 +1,608 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.5 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.127, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.5, + "min_microvia_diameter": 0.25, + "min_microvia_drill": 0.15, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.127, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "solder_mask_to_copper_clearance": 0.005, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.2, + 0.4064 + ], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "filli.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.25, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [ + { + "netclass": "Default", + "pattern": "COL*" + }, + { + "netclass": "Default", + "pattern": "ROW*" + } + ] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "", + "pos_files": "", + "specctra_dsn": "", + "step": "../../../Documents/angler.step", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "Grouped By Value", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "08d0009e-22f5-4a78-9dc3-680534a76784", + "Root" + ] + ], + "text_variables": {} +} diff --git a/assets/pcb/fp-lib-table b/assets/pcb/fp-lib-table new file mode 100644 index 0000000..f3e7f89 --- /dev/null +++ b/assets/pcb/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "graphics")(type "KiCad")(uri "${KIPRJMOD}/graphics.pretty")(options "")(descr "")) +)